VHDL語言的EDA《出租車計(jì)價(jià)器》數(shù)電課程設(shè)計(jì)_第1頁
VHDL語言的EDA《出租車計(jì)價(jià)器》數(shù)電課程設(shè)計(jì)_第2頁
VHDL語言的EDA《出租車計(jì)價(jià)器》數(shù)電課程設(shè)計(jì)_第3頁
VHDL語言的EDA《出租車計(jì)價(jià)器》數(shù)電課程設(shè)計(jì)_第4頁
VHDL語言的EDA《出租車計(jì)價(jià)器》數(shù)電課程設(shè)計(jì)_第5頁
已閱讀5頁,還剩27頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、數(shù)電課程設(shè)計(jì)報(bào)告設(shè)計(jì)課題:出租車計(jì)價(jià)器姓名:班級(jí):學(xué)號(hào):指導(dǎo)老師10引言隨著我國市場經(jīng)濟(jì)的發(fā)展,交通也越來越便利,特別是計(jì)程車,以快捷、方便的特點(diǎn)早已盛行各個(gè)城市,成為城市交通的重要工具。計(jì)程車市場從90年代初的起步階段到現(xiàn)在已經(jīng)進(jìn)入了高峰期。隨著城市化水平的提高和人民生活水平的改善,計(jì)程車的服務(wù)也就顯得越來越重要。因此計(jì)程車計(jì)價(jià)器也就應(yīng)運(yùn)而生了。本設(shè)計(jì)就是針對(duì)目前計(jì)程車計(jì)價(jià)器的問題設(shè)計(jì)的一種基于可編程邏輯器件FPGA勺ASIC,并用超高速硬件描述語言VHD閭程實(shí)現(xiàn)的可同時(shí)顯示金額、乘車時(shí)間、乘車總路程的“多功能”計(jì)價(jià)器。有較好的實(shí)用價(jià)值和較高的可行性。一、整體方案設(shè)計(jì)實(shí)現(xiàn)計(jì)程車的計(jì)價(jià)器功能。

2、一方面實(shí)現(xiàn)基本功能時(shí),包括在行程中滿足2公里以內(nèi)計(jì)起步價(jià)6元,以后在車行2公里后且10公里以內(nèi)再按1.8元/公里,10公里以后按2.2元/公里計(jì)費(fèi);處于等待的狀態(tài)下滿足5分鐘以內(nèi)不計(jì)價(jià),以后以2元/分計(jì)費(fèi)。另一方面多功能的實(shí)現(xiàn),計(jì)價(jià)器可以顯示總費(fèi)用,總行程數(shù)和總乘車時(shí)間等等。實(shí)現(xiàn)模擬功能:能模擬汽車啟動(dòng)、停止等狀態(tài)。設(shè)計(jì)的主要技術(shù)指標(biāo)如下:1、計(jì)價(jià)范圍:0999.0元計(jì)價(jià)分辨率:0.1元。2、計(jì)程范圍:099公里計(jì)價(jià)分辨率:1公里。3、計(jì)時(shí)范圍:59分59秒計(jì)時(shí)分辨率:1秒(1小時(shí))設(shè)計(jì)方案比較方案一:用單片機(jī)實(shí)現(xiàn):通過軟件編程,仿真,調(diào)試,符合要求后將程序用編程器寫入到單片機(jī)芯片上。利用單片

3、機(jī)的中斷響應(yīng)乘客開車或者等待的請(qǐng)求,進(jìn)行相應(yīng)的處理。利用寄存器存放計(jì)價(jià)器系統(tǒng)運(yùn)行狀態(tài),從而可以根據(jù)整個(gè)行程的狀態(tài)進(jìn)行計(jì)價(jià)。方案二:用FPGAG編程門陣列)實(shí)現(xiàn):利用硬件描述語言編程,仿真調(diào)試后下載到可編程邏輯器件(CPLD)上實(shí)現(xiàn)??梢詫?duì)計(jì)程車整個(gè)過程進(jìn)行判斷、處理。整個(gè)過程完全實(shí)現(xiàn)了自動(dòng)化和智能化。比較而言,方案一的開發(fā)及制作成本較低,能較大程度地利用資源,但外圍電路較多,調(diào)試復(fù)雜,抗干擾能力差,特別對(duì)這種計(jì)程車的計(jì)價(jià)器需要長時(shí)間不間斷運(yùn)作的系統(tǒng),由于主要是軟件運(yùn)作,容易出錯(cuò),造成系統(tǒng)不穩(wěn)定。方案二將所有器件集成在一塊芯片上,體積大大減小的同時(shí)還提高了穩(wěn)定性,并且可應(yīng)用EDA次件仿真,調(diào)試,

4、易于進(jìn)行功能擴(kuò)展,外圍電路較少,采用硬件邏輯電路實(shí)現(xiàn),其最大的優(yōu)點(diǎn)是穩(wěn)定性好,抗干擾能力強(qiáng),非常適合作為計(jì)程車的計(jì)價(jià)器系統(tǒng)的控制核心?;趯?duì)以上各個(gè)因素的考慮,我們覺得FPGA?現(xiàn)計(jì)程車的計(jì)價(jià)器的設(shè)計(jì)更設(shè)計(jì)的要求,提升設(shè)計(jì)的可行性。因此,決定選用以FPGM核心,用VHD閭程來實(shí)現(xiàn)計(jì)價(jià)器的設(shè)計(jì)。二、系統(tǒng)模塊劃分將系統(tǒng)分為三個(gè)大模塊1系統(tǒng)各項(xiàng)計(jì)費(fèi)功能的實(shí)現(xiàn);CP1端輸入的脈沖信號(hào)經(jīng)系統(tǒng)內(nèi)部分頻后做為模擬行車?yán)锍绦盘?hào)。CP洲輸入脈沖信號(hào)進(jìn)系統(tǒng)內(nèi)部分頻后做為行車時(shí)間信號(hào)。RR»復(fù)位信號(hào),RR='1'時(shí)計(jì)價(jià)器清零,RSTN為計(jì)價(jià)器開關(guān)。CASH150MILES15印TIMES1

5、5印端分別輸出乘車費(fèi)用,行車?yán)锍蹋熊嚳倳r(shí)間,它們都是用16位2進(jìn)制BCM表示。2各模塊的LCD顯示模塊BUSY控制器忙信號(hào),數(shù)據(jù)未顯示穩(wěn)定時(shí)BUSY=1反之為0;CASHH70CASHL7-0:乘車費(fèi)用16位2進(jìn)制BC則的高8位數(shù)據(jù)和低八位數(shù)據(jù);CPIN:輸入工作時(shí)鐘信號(hào);MILEH7-PMILEL7叼:行車?yán)锍?6位2進(jìn)制BC則的高8位數(shù)據(jù)和低八位數(shù)據(jù);R:LCD顯示開關(guān);TMH0PTML7P:行車時(shí)間16位2進(jìn)制BC則的高8位數(shù)據(jù)和低八位數(shù)據(jù);ADDR3P:字符在LCD屏幕上的地址(共兩行,每行16個(gè)字符)ADDR="0000”“1111”對(duì)應(yīng)每行的第015個(gè)字符;CLK控制器

6、工作時(shí)鐘,上升沿有效;DATA70:ASCII碼數(shù)據(jù)總線;OUTLINE:LCD160斛幕上的行選擇信號(hào),LINE=0時(shí)數(shù)據(jù)在第一行顯示,LINE=1時(shí)數(shù)據(jù)在第二行顯示;RST復(fù)位信號(hào),高電平有效;STORB:E據(jù)輸入有效使能,高電平有效;3LCD空制顯示模塊UleiCtrl、CLKRSTUDATA7.O-«ADDft3.OLINEvccBUSYSTROBELCDJJGHTUSLCDDATATRILCDDB7.DJ)LCD_DATAO7.0LCD_DATAI7.OI0EUF8BLCDELCD_RWLCDRSLCD_RSLCD_ELCDRWEE!H!LCD16XZACLK控制器工作時(shí)鐘

7、,上升沿有效RST復(fù)位信號(hào),高電平有效DATA7.0:ASCII碼數(shù)據(jù)總線ADDR3.0:字符在LCD屏幕上的地址(共兩行,每行16個(gè)字符)ADDR="0000”“1111”對(duì)應(yīng)每行的第015個(gè)字符LINE:LCD1602屏幕上的行選擇信號(hào),LINE=0時(shí)數(shù)據(jù)在第一行顯示,LINE=1時(shí)數(shù)據(jù)在第二行顯示BUSY控制器忙信號(hào),數(shù)據(jù)未顯示穩(wěn)定時(shí)BUSY=1反之為0STROBE數(shù)據(jù)輸入有效使能,高電平有效LCD顯示器接口:LCD_E:LCLS示器使能LCD_RW:LCD寫方向控制LCD_RS:LC階令,數(shù)據(jù)選擇LCD_DATA_TRI:LCD據(jù)高阻態(tài)控制LCD_DATAO:LCD據(jù)輸出總線

8、LCD_DATAI:LC瞅據(jù)輸入總線三、主要VHDLK程序1、計(jì)費(fèi)功能的實(shí)現(xiàn)LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;entityJFQZMKisport(CP1,CP2,RR,RSTN:INSTD_LOGIC;MILES,CASH,TIMES:OUTSTD_LOGIC_VECTOR(15DOWNTO0);endJFQZMK;architectureJFQLLofJFQZMKisSIGNALCASHOUT:STD_LOGIC_VECTOR(15DOWNTO0);-CASHTIME&CASH

9、M組成SIGNALCP3:STD_LOGIC;- -十個(gè)十米記為0.1KM的SIGNAL- -十個(gè)0。1KMB沖記為1KMi勺SIGNALSIGNALT:STD_LOGIC_VECTOR(15DOWNTO0);SIGNALCP:STD_LOGIC;- -十個(gè)0。1KMB沖記為1KMi勺SIGNAL- -時(shí)間計(jì)數(shù)及分頻的SIGANALSIGNALCOUNTER_REG:STD_LOGIC_VECTOR(31DOWNTO0);SIGNALCOUNTER:STD_LOGIC_VECTOR(31DOWNTO0);SIGNALTIMESOUT:STD_LOGIC_VECTOR(15DOWNTO0);SI

10、GNALCLK:STD_LOGIC;- -時(shí)間計(jì)數(shù)及分頻的SIGANAL- -里程計(jì)算部分的SIGNALSIGNALMILESOUT:STD_LOGIC_VECTOR(15DOWNTO0);- -里程計(jì)算部分的SIGNALSIGNALCASHL:STD_LOGIC_VECTOR(15DOWNTO0);SIGNALCASHH:STD_LOGIC_VECTOR(15DOWNTO0);SIGNALCASHMILE:STD_LOGIC_VECTOR(15DOWNTO0);- -行車計(jì)費(fèi)部分的SIGNAL溫馨推薦您可前往百度文庫小程序享受更優(yōu)閱讀體驗(yàn)不去了立即體驗(yàn)- -停車計(jì)費(fèi)部分的SIGNALSIGN

11、ALCOST:STD_LOGIC_VECTOR(15DOWNTO0);SIGNALCV:STD_LOGIC_VECTOR(7DOWNTO0);SIGNALCASHTIME:STD_LOGIC_VECTOR(15DOWNTO0);- -停車計(jì)費(fèi)部分的SIGNAL- -將16位二進(jìn)制價(jià)格轉(zhuǎn)換到BCD®FUNCTIONCONVERT(AA:STD_LOGIC_VECTOR)RETURNSTD_LOGIC_VECTORISVARIABLEAN:STD_LOGIC_VECTOR(15DOWNTO0);- ARIABLES:STD_LOGIC_VECTOR(15DOWNTO0);BEGINAN:

12、=X"0000"S:=X"0000"IFAA(N)='1'THENCASENISWHEN0=>AN:=X"0001"WHEN1=>AN:=X"0002"WHEN2=>AN:=X"0004"WHEN3=>AN:=X"0008"WHEN4=>AN:=X"0016"WHEN5=>AN:=X"0032"WHEN6=>AN:=X"0064"WHEN7=>AN:=X

13、"0128"WHEN8=>AN:=X"0256"WHEN9=>AN:=X"0512"WHEN10=>AN:=X"1024"WHEN11=>AN:=X"2048"WHEN12=>AN:=X"4096"WHEN13=>AN:=X"8192"WHENOTHERS=>AN:=X"0000"ENDCASE;IF("0"&S(3downto0)+("0"&am

14、p;AN(3DOWNTO0)>"01001"THENS(3DOWNTO0):=S(3DOWNTO0)+AN(3DOWNTO0)+"0110"S(15DOWNTO4):=S(15DOWNTO4)+X"001"ELSES(3DOWNTO0):=S(3DOWNTO0)+AN(3DOWNTO0);ENDIF;IF("0"&S(7DOWNTO4)+("0"&AN(7DOWNTO4)>"01001"THENS(7DOWNTO4):=S(7DOWNTO4)+AN

15、(7DOWNTO4)+"0110"S(15DOWNTO8):=S(15DOWNTO8)+X"01"ELSES(7DOWNTO4):=S(7DOWNTO4)+AN(7DOWNTO4);ENDIF;IF("0"&S(11DOWNTO8)+("0"&AN(11DOWNTO8)>"01001"THENS(11DOWNTO8):=S(11DOWNTO8)+AN(11DOWNTO8)+"0110"S(15DOWNTO12):=S(15DOWNTO12)+X"

16、;1"ELSES(11DOWNTO8):=S(11DOWNTO8)+AN(11DOWNTO8);ENDIF;S(15DOWNTO12):=S(15DOWNTO12)+AN(15DOWNTO12);ENDIF;ENDLOOP;RETURNS;ENDFUNCTION;- -將16位二進(jìn)制價(jià)格轉(zhuǎn)換到BCD®BEGIN- -10個(gè)脈沖記為0.1KM的實(shí)現(xiàn)PROCESS(CP1,RR)-CP1,RRINPUTSIGNALBEGINIFRR='1'THENCT<=X"0000"ELSIFCP1='1'ANDCP1'EVE

17、NTTHENCT<=X"0000"CP3<='1'ELSECP3<='0'CT<=CT+"1"ENDIF;ENDIF;ENDPROCESS;- -10個(gè)脈沖記為0.1KM的實(shí)現(xiàn)- -10個(gè)0。1KMB沖記為1KM的實(shí)現(xiàn)PROCESS(CP3,RR)BEGINIFRR='1'THENT<=X"0000"ELSIFCP3='1'ANDCP3'EVENTTHENT<=X"0000"CP<='1'

18、;ELSECP<='0'T<=T+"1"ENDIF;ENDIF;ENDPROCESS;- -10個(gè)0。1KM永沖記為1KM的實(shí)現(xiàn)完- -將時(shí)間脈沖分頻PROCESS(CP2,RR)BEGINIFRR='1'THENCOUNTER_REG<="11111111111111"-X"989680"-仿真時(shí)設(shè)為2COUNTER<=X"00000000"CLK<='0'ELSEIFCP2='1'ANDCP2'EVENTTHE

19、NIFCOUNTER>=COUNTER_REGTHENCOUNTER<=X"00000000"CLK<=NOTCLK;ELSECOUNTER<=COUNTER+1;ENDIF;ENDIF;ENDIF;ENDPROCESS;- -時(shí)間脈沖分頻完- -時(shí)間計(jì)數(shù)的實(shí)現(xiàn)PROCESS(CLK,RR)- ARIABLETIMESOUT_I:STD_LOGIC_VECTOR(15DOWNTO0);BEGINIFRR='1'THENTIMESOUT<=X"0000"TIMESOUT_I:=X"0000"

20、ELSIFCLK='1'ANDCLK'EVENTTHENIFTIMESOUT_I(15DOWNTO0)="1"THEN-16位二進(jìn)制數(shù)轉(zhuǎn)BCD碼TIMESOUT_I:=X"0000"ELSEIFTIMESOUT_I(11DOWNTO0)="100101011001"THENTIMESOUT_I(11DOWNTO0):="000000000000"TIMESOUT_I:=TIMESOUT_I+"0"ELSEIFTIMESOUT_I(7DOWNTO0)="01011

21、001"THENTIMESOUT_I(7DOWNTO0):="00000000"TIMESOUT_I:=TIMESOUT_I+"0"ELSEIFTIMESOUT_I(3DOWNTO0)="1001"THENTIMESOUT_I(3DOWNTO0):="0000"TIMESOUT_I:=TIMESOUT_I+"0"TIMESOUT_I:=TIMESOUT_I+"1"ENDIF;ENDIF;ENDIF;ENDIF;TIMESOUT<=TIMESOUT_I;ENDI

22、F;-16位二進(jìn)制數(shù)轉(zhuǎn)BCD馬ENDPROCESS;- -時(shí)間計(jì)數(shù)的實(shí)現(xiàn)完- -里程計(jì)算部分PROCESS(CP3,RR)- ARIABLEMILESOUT_I:STD_LOGIC_VECTOR(15DOWNTO0);BEGINIFRR='1'THENMILESOUT<=X"0000"MILESOUT_I:=X"0000"ELSIFCP3='1'ANDCP3'EVENTTHENIFMILESOUT_I(15DOWNTO0)="1"THEN-16位二進(jìn)制數(shù)轉(zhuǎn)BCffiMILESOUT_I:=

23、X"0000"ELSEIFMILESOUT_I(11DOWNTO0)="100110011001"THENMILESOUT_I(11DOWNTO0):="000000000000"MILESOUT_I:=MILESOUT+"0"ELSEIFMILESOUT_I(7DOWNTO0)="10011001"THENMILESOUT_I(7DOWNTO0):="00000000"MILESOUT_I:=MILESOUT_I+"0"ELSEIFMILESOUT_I(

24、3DOWNTO0)="1001"THENMILESOUT_I(3DOWNTO0):="0000"MILESOUT_I:=MILESOUT_I+"0"ELSEMILESOUT_I:=MILESOUT_I+"1"ENDIF;ENDIF;ENDIF;ENDIF;-16位二進(jìn)制數(shù)轉(zhuǎn)BCD碼MILESOUT<=MILESOUT_I;ENDIF;ENDPROCESS;-里程計(jì)算部分完-行車計(jì)費(fèi)部分PROCESS(MILESOUT,RR)BEGINIFRR='1'THENCASHL<=X"0

25、000"ELSIFMILESOUT<"0"THENCASHL<="0"ELSECASHL<="0"ENDIF;ENDPROCESS;PROCESS(MILESOUT,CP,RR)BEGINIFRR='1'THENCASHH<=X"0000"ELSIFCP='1'ANDCP'EVENTTHENIFMILESOUT<"0"THENCASHH<=X"0000"ELSIFMILESOUT<&q

26、uot;0"THENCASHH<=CASHH+"0"-程<=10公里,1.8元/公里ELSECASHH<=CASHH+"0"-程>10公里,2.2元/公里ENDIF;ENDIF;ENDPROCESS;PROCESS(CASHH,CASHL,RR)BEGINIFRR='1'THENCASHMILE<=X"0000"ELSECASHMILE<=CASHH+CASHL;ENDIF;ENDPROCESS;- -行車計(jì)費(fèi)部分完- -停車計(jì)費(fèi)部分PROCESS(MILESOUT,CLK

27、,RR)BEGINIFRR='1'THENCOST<=X"0000"CV<=X"00"CASHTIME<=X"0000"ELSIFCLK='1'ANDCLK'EVENTTHENIFCOST/=MILESOUTTHENCV<="00000000"ELSIFCV="00000101"THENCASHTIME<=CASHTIME+"0"停車超過5分鐘,每等一分鐘2元ELSEENDIF;COST<=MILES

28、OUT;ENDIF;ENDPROCESS;- -停車計(jì)費(fèi)部分完- -計(jì)價(jià)部分PROCESS(CASHTIME,CASHMILE,RR)- ARIABLECASHOUT_I:STD_LOGIC_VECTOR(15DOWNTO0);BEGINIFRR='1'THENCASHOUT_I:=X"0000"ELSECASHOUT_I:=CONVERT(CASHTIME+CASHMILE);ENDIF;CASHOUT<=CASHOUT_I;ENDPROCESS;-計(jì)價(jià)部分完-輸出部分PROCESS(MILESOUT,CASHOUT,TIMESOUT)MILES&l

29、t;=MILESOUT;CASH<=CASHOUT;TIMES<=TIMESOUT;ENDPROCESS;-輸出部分完ENDJFQLL;2、各模塊的LCD顯示模塊。LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;entityJFQLCDisport(CPIN,R,BUSY:INSTD_LOGIC;TMH,TML,MILEH,MILEL,CASHH,CASHL:INSTD_LOGIC_VECTOR(7DOWNTO0);CLK,RST,STROBE,OUTLINE:OUTSTD_LOGIC;DA

30、TA:OUTSTD_LOGIC_VECTOR(7DOWNTO0);ADDR:OUTSTD_LOGIC_VECTOR(3DOWNTO0);endJFQLCD;architectureJFQofJFQLCDisTYPESTATESIS(S0,S1,S2,S3,S4);SIGNALS:STATES;SIGNALLCDPT:INTEGERRANGE0TO23;SIGNALCPCT:INTEGERRANGE0TO65535;SIGNALCP:STD_LOGIC;beginPROCESS(CPIN,R)BEGINCLK<=CPIN;IFR='1'THENCPCT<=65535;

31、ELSIFCPIN='1'ANDCPIN'EVENTTHENIFCPCT=0THENCPCT<=65535;CP<=NOTCP;ELSECPCT<=CPCT-1;ENDIF;ENDPROCESS;PROCESS(CP,R)BEGINIFR='1'THENS<=S0;LCDPT<=0;RST<='1'ELSIFCP='1'ANDCP'EVENTTHENCASESISWHENS0=>S<=S1;LCDPT<=0;RST<='1'WHENS1=&

32、gt;RST<='0'STROBE<='0'IFBUSY='0'THENLCDPT<=LCDPT+1;IFLCDPT=10THENS<=S3;ELSES<=S2;ENDIF;ENDIF;WHENS2=>S<=S1;STROBE<='1'WHENS3=>STROBE<='0'IFBUSY='0'THENIFLCDPT=23THENLCDPT<=10;ELSELCDPT<=LCDPT+1;ENDIF;S<=S4;ENDIF;W

33、HENS4=>STROBE<='1'S<=S3;WHENOTHERS=>NULL;ENDCASE;ENDIF;ENDPROCESS;PROCESS(LCDPT,TMH,TML,CASHH,CASHL,MILEH,MILEL)BEGINCASELCDPTISWHEN0=>NULL;WHEN1=>DATA<="00111010”;ADDR<="0011"OUTLINE<='0'-:WHEN2=>DATA<="00101110”;ADDR<="10

34、11"OUTLINE<='0'-.WHEN3=>DATA<="01001011”;ADDR<="1101"OUTLINE<='0'-KWHEN4=>DATA<="01101101”;ADDR<="1110"OUTLINE<='0'-mWHEN5=>DATA<="01000011”;ADDR<="0010"OUTLINE<='1'-CWHEN6=>DA

35、TA<="01000001”;ADDR<="0011"OUTLINE<='1'-AWHEN7=>DATA<="01010011”;ADDR<="0100"OUTLINE<='1'-SWHEN8=>DATA<="01001000”;ADDR<="0101"OUTLINE<='1'-HWHEN9=>DATA<="00111010”;ADDR<="0110&qu

36、ot;OUTLINE<='1'-:WHEN10=>DATA<="00101110”;ADDR<="1011"OUTLINE<='1'-.-LCDPT=722顯示FS1FS8-LCDPT=2325顯示ZB/TMWHEN11=>DATA<="0011"&TMH(7DOWNTO4);ADDR<="0001"OUTLINE<='0'WHEN12=>DATA<="0011"&TMH(3D

37、OWNTO0);ADDR<="0010"OUTLINE<='0'WHEN13=>DATA<="0011"&TML(7DOWNTO4);ADDR<="0100"OUTLINE<='0'WHEN14=>DATA<="0011"&TML(3DOWNTO0);ADDR<="0101"OUTLINE<='0'WHEN15=>DATA<="0011"&a

38、mp;MILEH(7DOWNTO4);ADDR<="1000"OUTLINE<='0'WHEN16=>DATA<="0011"&MILEH(3DOWNTO0);ADDR<="1001"OUTLINE<='0'WHEN17=>DATA<="0011"&MILEL(7DOWNTO4);ADDR<="1010"OUTLINE<='0'WHEN18=>DATA<=&qu

39、ot;0011"&MILEL(3DOWNTO0);ADDR<="1100"OUTLINE<='0'WHEN19=>DATA<="00100000”;ADDR<="0111"OUTLINE<='1'WHEN20=>DATA<="0011"&CASHH(7DOWNTO4);ADDR<="1000"OUTLINE<='1'WHEN21=>DATA<="001

40、1"&CASHH(3DOWNTO0);ADDR<="100T'OUTLINE<='T;WHEN22=>DATA<="0011"&CASHL(7DOWNTO4);ADDR<="1010"OUTLINE<='1'WHEN23=>DATA<="0011"&CASHL(3DOWNTO0);ADDR<="1100"OUTLINE<='1'WHENOTHERS=>NULL;ENDCASE;ENDPROCESS;ENDJFQ;四、設(shè)計(jì)電路的仿真波形圖1總模塊仿真波形STIMESMWRSThl1I一RR1ISMILESCP2ODOQU(MOT_ii口m口口iiriCP1國CASH1OTOO-innrinnnngypJ6q6OTIMES(XKKJMD卜【風(fēng)0B01210卜山0D卜P)Q&)D:閉00【5】00D卜B01|0L陰0RSTN1RR13計(jì)程模塊仿真波形日MILESM00卜同01

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論