單片機(jī)試題庫(kù)(有答案)_第1頁(yè)
單片機(jī)試題庫(kù)(有答案)_第2頁(yè)
單片機(jī)試題庫(kù)(有答案)_第3頁(yè)
已閱讀5頁(yè),還剩30頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、D選擇工作方式2012單片機(jī)與接口技術(shù)習(xí)題庫(kù)及答案二、單項(xiàng)選擇題(在每小題的四個(gè)備選答案中,選出一個(gè)正確 答案,并將正確答案的序號(hào)填在空格內(nèi)。 )MCS-51單片機(jī)原理與結(jié)構(gòu)1 MCS-51單片機(jī) CPU的主要組成部分為 A 。A運(yùn)算器、控制器B 加法器、寄存器 C 運(yùn)算器、加法器 D 運(yùn)算器、譯碼器 2單片機(jī)能直接運(yùn)行的程序叫C 。A. 源程序 B 匯編程序 C 目標(biāo)程序 D 編譯 程序 3單片機(jī)中的程序計(jì)數(shù)器 PC用來(lái) C 。A 存放指令 B 存放正在執(zhí)行的指令地址C存放下一條指令地址D存放上一條指令地址4單片機(jī)上電復(fù)位后, PC的內(nèi)容和 SP的內(nèi)容為 B 。A0000H,00H B 00

2、00H,07H C 0003H,07H D 0800H, 08H5單片機(jī) 8051 的 ALE引腳是 B 。A輸出高電平 B 輸出矩形脈沖,頻率為 fosc 的 1/6C輸出低電平 D 輸出矩形脈沖,頻率為 fosc 的 1/26單片機(jī) 8051的 EA 引腳 C 。A必須接地 B 必須接 +5V C 以上兩種視需要而定 7訪問(wèn)外部存儲(chǔ)器或其它接口芯片時(shí), 作數(shù)據(jù)線和低 8 位地址 線的是 A 。AP0 口 B P1 口 C P2口 D P0口 和 P2 口8 PSW中的 RS1和 RS0用來(lái) A 。A 選擇工作寄存器組號(hào) B 指示復(fù)位 C 選擇定時(shí)器9上電復(fù)位后, PSW的值為 D 。A1

3、B 07H C 0FFHD 0108051 單片機(jī)上電復(fù)位后,堆棧區(qū)的最大允許范圍是B個(gè)單元。A64B 120 C 128D 256118051 單片機(jī)上電復(fù)位后, 堆棧區(qū)的最大允許范圍是內(nèi)部 RAM 的 D 。A00HFFH B 00H 07H C 07H7FH D 08H 7FH 12復(fù)位后, 堆棧指針 SP在內(nèi)部 RAM中的直接地址是 C 。A00HB 07H C 81H D FFH13. 8051 的 P0 口,當(dāng)使用外部存儲(chǔ)器時(shí)它是一個(gè)DA傳輸高 8 位地址口C傳輸高 8 位數(shù)據(jù)口14P0口作數(shù)據(jù)線和低A 應(yīng)外接上拉電阻A 傳輸?shù)?8 位地址口D 傳輸?shù)?8 位地址 / 數(shù)據(jù)口8 位

4、地址線時(shí)B 不能作 I/O 口 C 能作I/O 口 D 應(yīng)外接高電平15對(duì)于 8051 單片機(jī),其內(nèi)部RAM20H-2FH 單元 CA只能位尋址B 只能字節(jié)尋址又可字節(jié)尋址D少部分只能位尋址16尋址方式就是 C 的方式。C 既可位尋址A 查找指令操作碼 B 查找指令 C 查找指令操作數(shù)D查找指令操作碼和操作數(shù)178051 單片機(jī)若晶振頻率為 fosc=12MHz,則一個(gè)機(jī)器周期 等于 CS。A1/12B1/2C1D218MCS-51單片機(jī)的數(shù)據(jù)指針 DPTR是一個(gè) 16 位的專用地址指 針寄存器,主要用來(lái) B 。D 0000H 0FFFHA 存放指令 B 存放 16 位地址,作間址寄存器使用

5、C存放下一條指令地址D存放上一條指令地址19 ALU表示 D。 DA 累加器 B 程序狀態(tài)字寄存器 C 計(jì)數(shù)器D算術(shù)邏輯部件20單片機(jī)上電后或復(fù)位后,工作寄存器R0 是在 A 。A 0區(qū)00H單元B 0區(qū)01H單元 C 0區(qū) 09H單元 D SFR21單片機(jī) 8051 的 XTAL1和 XTAL2引腳是 D 引腳。A 外接定時(shí)器 B 外接串行口 C 外接中斷 D外接晶振228051 單片機(jī)的 VSS(20)引腳是 B 引腳。A 主電源+5VB接地 C備用電源 D訪問(wèn)片外存貯器23 8051 單片機(jī)的VCC(40)引腳是 A引腳。A 主電源+5VB接地 C備用電源 D訪問(wèn)片外存貯器24 8051

6、 單片機(jī)中,輸入/ 輸出引腳中有專門的第二功能的引腳是 D 。A P0BP1CP2DP325MCS-51復(fù)位后,程序計(jì)數(shù)器 PC= B。即單片機(jī)從B開始執(zhí)行指令。A0001HB 0000HC 0003HD 0023H26MCS-51的片內(nèi)外的 ROM是統(tǒng)一編址的, 如果 EA 端保持高 電平, 8051 的程序計(jì)數(shù)器 PC在 B 地址范圍內(nèi)。A 1000H FFFFH B 0000H FFFFH C 0001H 0FFFH27單片機(jī)的堆棧指針 SP始終是指示 B 。A 堆棧底 B 堆棧頂 C 堆棧地址D堆棧中間位置28單片機(jī)的 P0、P1 口作輸入用途之前必須A 。A 在相應(yīng)端口先置 1 B

7、在相應(yīng)端口先置 0 C 外接高電平 D 外接上拉電阻29MCS-51的串行數(shù)據(jù)緩沖器 SBUF用于 B。A 存放運(yùn)算中間結(jié)果 B 存放待發(fā)送或已接收到的數(shù)據(jù) C 暫存數(shù)據(jù)和地址D存放待調(diào)試的程序30MCS-51單片機(jī)的 P1 口的功能是 A 。A可作通用雙向 I/O 口用C 可作地址/數(shù)據(jù)總線用31MCS-51單片機(jī)復(fù)位后,專用寄存器ACC的狀態(tài)是 C 。A01HB07HC00HD 0FFH32當(dāng)程序狀態(tài)字寄存器PSW狀態(tài)字中RS1和 RS0分別為0和1 時(shí),系統(tǒng)使用的工作寄存器組為B 。A組 0B組 1 C組 2D組3338051單片機(jī)中,用戶可使用的 16 位寄存器是D。APSWBACCC

8、 SPD DPTR348051 的程序計(jì)數(shù)器PC為 16 位計(jì)數(shù)器,其尋址范圍是D。A8KB16KC32KD64K35單片機(jī)應(yīng)用程序一般存放在 B中。A RAMB ROM C寄存器D CPUMCS-51 單片機(jī)指令系統(tǒng)及程序設(shè)計(jì)36 MCS-51 匯編語(yǔ)言指令格式中,唯一不可缺少的部分是A標(biāo)號(hào)操作碼 C 操作數(shù) D 注序存儲(chǔ)器 ROM釋37 MCS-51的立即尋址方式中,立即數(shù)前面D 。A應(yīng)加前綴“ / :”號(hào) B 不加前綴號(hào) C 應(yīng)加前綴“ ” 號(hào) D 應(yīng)加前綴“ #”號(hào)38下列完成 8051 單片機(jī)內(nèi)部數(shù)據(jù)傳送的指令是 D 。A MOVX A, DPTR B MOVC A, A+PC D

9、MOV direct,direct39 MCS-51 的源操作數(shù)為立即尋址的指令中,立即數(shù)就是B 。A放在寄存器 R0 中的內(nèi)容B 放在程序中的常數(shù)C放在 A 中的內(nèi)容D 放在 B 中的內(nèi)容40單片機(jī)中 PUSH和 POP指令常用來(lái) C 。A 保護(hù)斷點(diǎn) B 保護(hù)現(xiàn)場(chǎng) C 保護(hù)現(xiàn)場(chǎng),恢復(fù) 現(xiàn)場(chǎng)D 保護(hù)斷點(diǎn),恢復(fù)斷點(diǎn)41MCS-51尋址方式中,操作數(shù) Ri 加前綴“ ”號(hào)的尋址方式 是 A 。A 寄存器間接尋址 B 寄存器尋址 C 基址加 變址尋址 D 立即尋址42 MCS-51尋址方式中,立即尋址的尋址空間是C 。A 工作寄存器 R0R7B 專用寄存器 SFRC程序存儲(chǔ)器 ROM片內(nèi) RAM的

10、20H2FH 安節(jié)中的所有位和部分專用寄存器 SFR的位43 MCS-51尋址方式中,直接尋址的尋址空間是D 。A 工作寄存器 R0R7B 專用寄存器 SFRC程數(shù)據(jù)存儲(chǔ)器 256 字節(jié)范圍44執(zhí)行指令 MOVX A,DPTR時(shí), WR 、 RD 腳的電平為C 。A WR高電平, RD高電平BWR低電平,RD高電平CWR 高電平, RD 低電平DWR低電平,RD低電平45主程序中調(diào)用子程序后返回主程序,堆棧指針SP 的值A(chǔ)。A不變B 加 2 C 加 4 D 減246單片機(jī)中使用 MOVX A, R1指令 , C 尋址數(shù)據(jù)存儲(chǔ) 器 1050H 單元。A能直接B 不能 C與 P2 口配合能D與 P

11、1 口配合能47下列指令判斷若 P1 口最低位為高電平就轉(zhuǎn) LP,否則就執(zhí) 行下一句的是 B 。A JNB ,LPBJB ,LP CJC ,LP DJNZ ,LP48指令 JB OEOH,LP 中的 OEOH是指 C 。A 累 加器 AB累加器 A 的最高 位C累加器 A 的最低位D 一個(gè)單元的地址49下列指令中比較轉(zhuǎn)移指令是指 B 。A DJNZR n,rel B CJNER n,#data ,rel C DJNZd irect , rel D JBC bit , rel50指令 MOVR 0,#20H 執(zhí)行前( R0)=30H,(20H)=38H,執(zhí)行 后( R0)= B。A00HB20H

12、C30HD 38H51指令 MOVR 0, 20H 執(zhí)行前( R0) =30H,( 20H)=38H,執(zhí)行令是 C后( R0)= D。A20HB30HC50HD 38H52執(zhí)行如下三條指令后, 30H 單元的內(nèi)容是 B 。MOV R1, #30HMOV 40H, #0EHMOV R,1 40HA 40HB0EHC 30HD FFHA MOVX A,R0 B MOV A, #data C MOV A,R0D MOVX A, DPTR60下列指令執(zhí)行時(shí),不修改 PC中內(nèi)容的指令是BA AJMPB MOVC A, A+PC61已知: A=D2H,( 40H)=77H,執(zhí)行指令: ORL A, 40H

13、后,其結(jié)果是: BAA=77HB A=F7HCA=D2HD 以上都不對(duì)54 MCS-51指令 MOV R0, #20H中的 20H是指 A 。A 立即數(shù) B 內(nèi)部RAM20H C 一個(gè)數(shù)的 初值D以上三種均有可能,視該指令的在程序中的作用55 MCS-51單片機(jī)在執(zhí)行 MOVXA , DPT指R 令時(shí),其尋址單元 的地址是由 B 。62指令 MUL AB 執(zhí)行前( A)=18H ,( B)=05H,B 的內(nèi)容是 D00H63A90H,05H B 90H,00HMCS-51指令系統(tǒng)中,清零指令是ACPL ABRLC AC 78H,05HCCLR A執(zhí)行后, A、78H,RRCA P0口送高8位,

14、P2口送高8位 B P0口送低 8位,P2口送高 8 位CP0口送低 8位, P2口送低 8 位 D P0口送高 8 位, P2口送低 8 位56在 MCS-51指令中, 下列指令中 C 是無(wú)條件轉(zhuǎn)移指令。6465MCS-51指令系統(tǒng)中,求反指令是ACPL ABRLC ACCLR ARRCMCS-51指令系統(tǒng)中,指令 MOVA ,R,0 執(zhí)行前A)=86H,ALCALL addr16 BDJNZ direct,rel CSJMPrel D ACALL addr11R0) =20H,( 20H) =18H,執(zhí)行后 CA(A)=86HB ( A)=20HC ( A)=18H D (A)57. 下列

15、指令中影響堆棧指針的指令是A LJMPB ADDD LCALL58已知: A=DBH R4=73H CY=1行后的結(jié)果是 C 。C MOVC A, A+PC,指令: SUBBA , R4 執(zhí)A A=73HB A=DBH C A=67H D 以上=00H 66MCS-51指令系統(tǒng)中,指令 CLR A ;表示 A 。A將 A 的內(nèi)容清 0B 將 A 的內(nèi)容置 1C將 A 的內(nèi)容各位取反,結(jié)果送回 A 中 D 循環(huán)移位 指令67已知 A=87H ,(30H) =76H,執(zhí)行 XRL A ,30H 后,其都不對(duì)結(jié)果為: C59可實(shí)現(xiàn)將內(nèi)部數(shù)據(jù)存儲(chǔ)單元的內(nèi)容傳送到累加器A中的指A A=F1H( 30H)

16、=76HP=0BA=87H(30H)=76HP=1CA=F1H( 30H)=76HP=1DA=76H(30H)=87HP=168MCS-51指令系統(tǒng)中,指令A(yù)DDA, R0執(zhí)行前( A)=38H,R0)=54H,(C) =1 執(zhí)行后,其結(jié)果為 DA ( A) =92H(C)=1B( A) =92H (C)=0C( A)=8CH(C)=1D( A) =8CH (C)=069MCS-51指令系統(tǒng)中,指令A(yù)DD A,R0 執(zhí)行前( A)=86H,(R0)=7AH,(C) =0 執(zhí)行后,其結(jié)果為 A 。A ( A) =00H ( C)=1B ( A) =00H (C)=0C( A)=7AH (C)=1

17、D( A) =7AH (C)=070MCS-51指令系統(tǒng)中, 指令 ADDC A,R0 執(zhí)行前( A)=38H, (R0)=30H,(30H)=FOH,( C)=1 執(zhí)行后,其結(jié)果為 B 。A ( A) =28H ( C)=1B ( A) =29H (C)=1C( A)=68H (C)=0D( A) =29H (C)=071下列指令能使累加器 A低 4位不變,高 4位置 F的是 D 。AANL A, #OFH B ANLA ,#OFOH C ORLA ,#OFHDORL A, #OF0H72下列指令能使累加器 A高 4位不變,低 4位置 F的是 C。AANL A, #OFH B ANLA ,#

18、OFOH C ORLA ,#OFHDORL A, #OF0H73下列指令能使 R0低4位不變,高 4位置 F的是 D 。AANL R0,#OF0H B ORL RO, #OFOH CORL 0,AANL A, #7FH B ANL A,#80H C ORL A, #7FHDORL A,#80H76下列指令能使 R0 的最高位置 0 的是 A 。A ANL0 , #7FH B ANLR 0,#FH C ORLR 0, #7FH DORL R0, #80H77下列指令能使 R0 的最高位取反的是B 。ACPL B XRL 00H,#80H C CPL( R0).7 D ARL R0, #80H78

19、下列指令能使累加器 A的最低位置 1 的是 C 。A SETB A, #01H B SETB A,0 C ORL A, #01HD SETB A, #00H79下列指令能使 P1口的最低位置 1 的是 B 。AANL P1, #80H B SETB 90H C ORL P1, #0FFH DORL P1, #80H80下列指令判斷若累加器A 的內(nèi)容不為 0 就轉(zhuǎn) LP 的是D。AJB A,LP B JNZ A,LPCJZ LP D CJNEA,#0,LP81設(shè)累加器 A中為無(wú)符號(hào)數(shù) ,B 中數(shù)為 2,C等于 0,下列指令 C 的作用與其它幾條不同。AADD A,0E0H B MUL AB C

20、RL A D RLC A 8283MCS-51指令系統(tǒng)中,執(zhí)行下列程序后,程序計(jì)數(shù)器PC 的內(nèi)容為 C 。#OFH D ORL 00H, #OF0HORG000H74下列指令能使 R0高4位不變,低 4位置 F的是C。MOVDPDR, #1000AANL R0, #OFH B ANL R0, #OFOH C ORL 0,MOVA , #00H#OFH D ORL R0, #OFHMOV20H , A75下列指令能能使累加器 A的最高位置 1 的是 DLJMP 1500A用于定義字節(jié)B 用于定義字 C 用來(lái)ORG0000H定義匯編程序的起始地址MOVA , #00HD用于定義某特定位的標(biāo)識(shí)符AD

21、DA , #02H85MOVDPTR , #0050H86 MCS-51指令系統(tǒng)中,執(zhí)行下列程序后,堆棧指針SP的內(nèi)MOVCA , A+DPTR容為 B 。MOVR0 , AMOV SP , #30HSJMP$MOV A , 20HORG0050HLACALL 1000BAO: DB 00H,08H,0BH,6H,MOV 20H , AENDSJMP $A00HB0BHC06HD91執(zhí)行下列程序后,累加器A的內(nèi)容為是C0CH09H,0CHENDA A=21 CY=1 OV=0 A=21 CY=1A 100B 1000C 1500D0OV=184MCS-51指令系統(tǒng)中, 格式為:ORG 16 位

22、地址的指令功能C A=21 CY=0 OV=0以上都不對(duì)A 00H30HC32H07H92能訪問(wèn)內(nèi)部數(shù)據(jù)存儲(chǔ)器的傳送指令是87 MCS-51指令系統(tǒng)中,指令 DA A 是 DA MOVC指 令B MOV 指令C MOVX指 令A(yù)除法指令B 加 1 指令 C加法指令DD十進(jìn)制調(diào)整指令93能訪問(wèn)外部數(shù)據(jù)存貯器的傳送指令是88 MCS-51指令系統(tǒng)中,指令 DA A 應(yīng)跟在 BA MOV 指令B MOVC指 令 MOVX指 令A(yù)加法指令后 BCD碼的加法指令后DC減法指令后 BCD碼的減法指令后94.指令 ANL A, R0的功能是 A89在單片機(jī)算術(shù)運(yùn)算過(guò)程中, 指令DA A 常用于 C 運(yùn)算。A

23、 將 A 與寄存器 R0 的內(nèi)部容按位邏輯與將 A 與寄存A二進(jìn)制加法CBCD 碼加法器 R0 的內(nèi)部容按位邏輯異或D十六進(jìn)制C將 A 與寄存器 R0的內(nèi)部容按位邏輯非D 將 A 與寄90MCS-51指令系統(tǒng)中,執(zhí)行下列指令后,其結(jié)果為 存器 R0 的內(nèi)部容按位邏輯或MOV A, #6895能將 A 的內(nèi)容向左循環(huán)移一位,第七位進(jìn)第0 位的指令是ADD A, #53DA AA RLC AB RRC A C RR AD RL A103在編程中使用偽指令的目的是C96能將 A 按位取反的指令是 A 。ACPL AB CLR A C RL AD SWAP A97執(zhí)行下列程序后,內(nèi)部 RAM 30H

24、單元的內(nèi)容是 B 。MOV30H, #00HMOVR0, #30HMOVA , 30HADDA, #05HMOVC A, A+PCMOVR0, ASJMP$TDBDB 00H,01H ,02H,03H,05HA00HB 02HC 05HD30H98將 A 與立即數(shù)按位邏輯異或的指令是 C。AANL A, #dataB ORL A,#dataCXRLA,#dataD XRL A,direct99. 比較轉(zhuǎn)移指令是 B。ADJNZR 0 relB CJNE A ,direct ,relCDJNZdirect relD JBC bit rel100. 將內(nèi)部數(shù)據(jù)存儲(chǔ)器 53H單元的內(nèi)容傳送至累加器,

25、其指令A(yù)指示和引導(dǎo)如何進(jìn)行手工匯編B指示和引導(dǎo)編譯程序如何匯編C指示和引導(dǎo)匯編程序進(jìn)行匯編D指示和引導(dǎo)程序員進(jìn)行匯編單片微機(jī)基本系統(tǒng)擴(kuò)展104一個(gè) EPROM的地址有 A0A 11 引腳,它的容量為 B 。A 2KBB 4KBC 11KBD12KB 105在存儲(chǔ)器擴(kuò)展電路中 74LS373的主要功能是 D 。A 存儲(chǔ)數(shù)據(jù) B 存儲(chǔ)地址 C 鎖存數(shù)據(jù) D 鎖存 地址 106下列芯片中其功能為可編程控制的接口芯片是D 。A373B 2114C 2716D8255 107在用接口傳信息時(shí), 如果用一幀來(lái)表示一個(gè)字符, 且每一 幀中有一個(gè)起始位、一個(gè)結(jié)束位和若干個(gè)數(shù)據(jù)位,該傳送屬于A。A串行傳送B并行

26、傳送C 同步傳送D 異步傳送1088051 的外部程序存儲(chǔ)器常采用的芯片是A。A 2716B 8255C 74LS06是 A 。A MOV A, 53H B MOV A, #53H C MOVC A, 53HD MOVX A, #53H101102 LJMP跳轉(zhuǎn)空間最大可達(dá)到 D 。A 2KBB256BC 128BD 64KBD2114定時(shí)、中斷、串行口系統(tǒng)及應(yīng)用109 8051 單片機(jī)的定時(shí)器 T1用作定時(shí)方式時(shí)是 BA由內(nèi)部時(shí)鐘頻率定時(shí),一個(gè)時(shí)鐘周期加1B由內(nèi)部時(shí)鐘頻率定時(shí),一個(gè)機(jī)器周期加1C由外部時(shí)鐘頻率定時(shí),一個(gè)時(shí)鐘周期加1D由外部時(shí)鐘頻率定時(shí),一個(gè)機(jī)器周期加11108051 單片機(jī)的

27、定時(shí)器 T0 用作計(jì)數(shù)方式時(shí)是 C117. 用 8051 的定時(shí)器 T1作定時(shí)方式,用模式 2,則工作方式A由內(nèi)部時(shí)鐘頻率定時(shí),一個(gè)時(shí)鐘周期加1B由內(nèi)部時(shí)鐘頻率定時(shí),一個(gè)機(jī)器周期加1C由外部計(jì)數(shù)脈沖計(jì)數(shù),下降沿加 1D由外部計(jì)數(shù)脈沖計(jì)數(shù),一個(gè)機(jī)器周期加1111 8051 單片機(jī)的定時(shí)器 T1 用作計(jì)數(shù)方式時(shí)計(jì)數(shù)脈沖是A 。A由 T1()輸入 B 由內(nèi)部時(shí)鐘頻率提供C由 T0()輸入 D 由外部計(jì)數(shù)脈沖計(jì)數(shù)1128051 單片機(jī)的定時(shí)器 T0 用作定時(shí)方式時(shí)是 D 。A由內(nèi)部時(shí)鐘頻率定時(shí),一個(gè)時(shí)鐘周期加1B由外部計(jì)數(shù)脈沖計(jì)數(shù),一個(gè)機(jī)器周期加1C外部定時(shí)脈沖由 T0()輸入定時(shí)D由內(nèi)部時(shí)鐘頻率計(jì)數(shù)

28、,一個(gè)機(jī)器周期加1單片機(jī)的機(jī)器周期為 2s, 則其晶振頻率 fosc 為 C MHz.A1B2C 6D12114. 用 8051 的定時(shí)器 T1 作定時(shí)方式,用模式 1,則工作方式 控制字為 C 。A 01HB05HC10HD50H115. 用 8051 的定時(shí)器 T1 作計(jì)數(shù)方式,用模式 2,則工作方式 控制字為 A 。A 60HB02HC06HD20H116. 用 8051 的定時(shí)器 T1 作定時(shí)方式,用模式 1,則初始化編 程為 C 。AMOV TOM,D#01H B MOV TOM,D#50H C MOV TOM,D 控制字為 D 。A60HB 02HC06HD20H118. 用 80

29、51 的定時(shí)器 T1作定時(shí)方式,用模式 2,則初始化編 程為 B 。AMOV TOM,D#06H B MOV TOMD,#20H C MOV TOM,D #10H D MOV TOMD,#60H 119用8051的定時(shí)器,若用軟啟動(dòng), 應(yīng)使TOMD中的 C。AGATE位置 1 B C/T 位置 1 C GATE位置 0DC/T 位置 0120啟動(dòng)定時(shí)器 0 開始計(jì)數(shù)的指令是使 TCON的 B 。ATF0 位置 1 B TR0位置 1 C TR0位置 0DTR1 位置 0121啟動(dòng)定時(shí)器 1 開始定時(shí)的指令是 D 。ACLR TR0 B CLRT R1 C SETBT R0 D SETBTR11

30、22使 8051 的定時(shí)器 T0停止計(jì)數(shù)的指令是 A 。ACLR TR0 B CLRT R1 C SETBT R0 D SETBTR1123使 8051 的定時(shí)器 T1停止定時(shí)的指令是 B 。ACLR TR0 B CLRT R1 C SETBT R0 D SETBTR1 124使 8051 的定時(shí)器 T1停止計(jì)數(shù)的指令是使 TCON的 DATF0 位置 1 B TR0位置 1 C TR0位置 0DTR1 位置 0125 8051 的定時(shí)器 T0作計(jì)數(shù)方式,用模式 1( 16位計(jì)數(shù)器) 則應(yīng)用指令 C 初始化編程。#10H D MOV TCON, #02HAMOV TMOD , #01HMOV

31、TMOD ,10HD外部中斷 0CMOV TMOD ,#05HD#05H126下列指令判斷若定時(shí)器 T0 計(jì)滿數(shù)就轉(zhuǎn) AJB T0,LP B JNB TF0,LP C D JB TF0,LP127下列指令判斷若定時(shí)器 B。AJB T0,$ B JNB TF0,$TF0,$128當(dāng) CPU響應(yīng)定時(shí)器 T1 的中斷請(qǐng)求后,MOV TCON ,LP 的是 DJNB TR0, LPT0 未計(jì)滿數(shù)就原地等待的是C JNB TR0,$ D JB程序計(jì)數(shù)器 PC的內(nèi)133當(dāng)外部中斷C 。A SETBE T0 B SETBE X0IE ,#61H134當(dāng)定時(shí)器 T0 發(fā)出中斷請(qǐng)求后,A SETBE T0 B

32、SETBE X0IE ,#61H135.136.1370 發(fā)出中斷請(qǐng)求后,中斷響應(yīng)的條件是C MOVI E ,#81H D MOV中斷響應(yīng)的條件是 C 。C MOVI E ,#82H D MOV容是 D 。A 0003H B 000BHD 001BH129當(dāng) CPU響應(yīng)外部中斷 0 INT0PC的內(nèi)容是 A 。A 0003H B 000BHD 001BH130當(dāng) CPU響應(yīng)外部中斷 1 INT1PC的內(nèi)容是 C 。C 00013H的中斷請(qǐng)求后,程序計(jì)數(shù)器C 00013H的中斷請(qǐng)求后,程序計(jì)數(shù)器A 0003HB 000BHC 00013HD 001BH131MCS-51單片機(jī)在同一級(jí)別里除串行口

33、外,級(jí)別最低的中 斷源是 C 。A外部中斷 1 B 定時(shí)器 T0 C 定時(shí)器 T1 D串行口132MCS-51單片機(jī)在同一級(jí)別里除 INT0 外,級(jí)別最高的中斷 源是 B 。A外部中斷 1 B 定時(shí)器 T0 C 定時(shí)器 T1138用定時(shí)器 T1方式 1計(jì)數(shù),要求每計(jì)滿 10 次產(chǎn)生溢出標(biāo)志, 則 TH1、 TL1的初始值是 A 。A FFH、F6H B F6H、 F6HCF0H 、 F0HDFFH、F0H139140. 用 8051 的定時(shí)器 T0定時(shí),用模式 2,則應(yīng) DA啟動(dòng) T0 前向 TH0置入計(jì)數(shù)初值,新計(jì)數(shù)前要重新置入計(jì)數(shù)初值TL0 置 0,以后每次重B 啟動(dòng) T0 前向TH0、T

34、L0 置入計(jì)數(shù)初值, 以后每次重新計(jì)數(shù)前要重新置入計(jì) 數(shù)初值 C啟動(dòng) T0 前向 TH0、TL0置入計(jì)數(shù)初值,以后不再置入D.啟動(dòng) T0 前向 TH0、 TL0 置入相同的計(jì)數(shù)初值,以后不再置 入141142 MCS-51單片機(jī) CPU開中斷的指令是 A 。A SETB EA B SETB ES CCLR EAD SETB EX0143 MCS-51單片機(jī)外部中斷 0 開中斷的指令是 BA SETB ETO B SETB EXOC CLR ETO單片機(jī)定時(shí)器 T0 的溢出標(biāo)志 TF0,若計(jì)滿數(shù)在 CPU響應(yīng)中斷后D SETB ET1144 MCS-51單片機(jī)的兩個(gè)定時(shí)器作定時(shí)器使用時(shí)TMOD的

35、 D6或 D2 應(yīng)分別為 AA D6=0, D2=0BD6=1, D2=0 C D6=0, D2=1D D6=1,D2=11451468051 單片機(jī)晶振頻率fosc=12MHz,則一個(gè)機(jī)器周期為 BS。A 1212D 112147MCS-51單片機(jī)定時(shí)器溢出標(biāo)志是A TR1 和 TR0 B IE1 和 IE0IT1 和 IT0D TF1 和 TF0148用定時(shí)器 T1方式 2計(jì)數(shù),要求每計(jì)滿 100 次,向 CPU發(fā) 出中斷請(qǐng)求, TH1、TL1 的初始值是 A 。A 9CHB 20HC64HDA0H149 MCS-51單片機(jī)定時(shí)器外部中斷 1 和外部中斷 0 的觸發(fā)方 式選擇位是 C 。A

36、DTR1 和 TR0 B TF1 和 TF0IE1 和 IE0CIT1 和 IT0150MCS-51單片機(jī)定時(shí)器T1的溢出標(biāo)志TF1,若計(jì)滿數(shù)產(chǎn)生溢出時(shí),如不用中斷方式而用查詢方式,則應(yīng) B 。A由硬件清零 B由軟件清零C 由軟件置于 D 可不處理單片機(jī)定時(shí)器 T0 的溢出標(biāo)志 TF0,若計(jì)滿數(shù)產(chǎn)生溢出時(shí),其值為 C 。A 。A 由硬件清零 B 由軟件清零 CA和B都可以 D 隨機(jī)狀態(tài)1538051 單片機(jī)計(jì)數(shù)初值的計(jì)算中, 若設(shè)最大計(jì)數(shù)值為 M,對(duì) 于模式 1 下的 M 值為 D 。A M=213 =8192 B M=28 =256CM=24 =16D M=216 =65536154 805

37、1 響應(yīng)中斷后,中斷的一般處理過(guò)程是A 。A關(guān)中斷,保護(hù)現(xiàn)場(chǎng),開中斷,中斷服務(wù),關(guān)中斷,恢復(fù) 現(xiàn)場(chǎng),開中斷,中斷返回B關(guān)中斷,保護(hù)現(xiàn)場(chǎng),保護(hù)斷點(diǎn),開中斷,中斷服務(wù), 恢復(fù)現(xiàn)場(chǎng),中斷返回C關(guān)中斷,保護(hù)現(xiàn)場(chǎng),保護(hù)中斷,中斷服務(wù),恢復(fù)斷點(diǎn), 開中斷,中斷返回D關(guān)中斷,保護(hù)斷點(diǎn),保護(hù)現(xiàn)場(chǎng),中斷服務(wù),關(guān)中斷, 恢復(fù)現(xiàn)場(chǎng),開中斷,中斷返回155156 8051 單片機(jī)共有 5 個(gè)中斷源,在同一級(jí)別里, 5個(gè)中斷 源同時(shí)發(fā)出中斷請(qǐng)求時(shí),程序計(jì)數(shù)器PC的內(nèi)容變?yōu)锽 。A 000BH B 0003HC0013HD001BH157MCS-51單片機(jī)串行口發(fā)送 / 接收中斷源的工作過(guò)程是:當(dāng) 串行口接收或發(fā)送完一幀

38、數(shù)據(jù)時(shí),將SCON中的 A ,向 CPU申請(qǐng)中斷。A RI或TI置1 B RI或TI置0 C RI置1 或TI 置0 D RI置0或TI 置1A 00HB FFHC 1 D 計(jì)數(shù)值158 MCS-51單片機(jī)響應(yīng)中斷的過(guò)程是D159執(zhí)行中斷處理程序最后一句指令RETI后,A斷點(diǎn) PC自動(dòng)壓棧,對(duì)應(yīng)中斷矢量地址裝入 PC B 關(guān) 中斷,程序轉(zhuǎn)到中斷服務(wù)程序C斷點(diǎn)壓棧, PC指向中斷服務(wù)程序地址D斷點(diǎn) PC自動(dòng)壓棧,對(duì)應(yīng)中斷矢量地址裝入 PC,程序轉(zhuǎn)到該矢量地址164 MCS-51單片機(jī)串行口發(fā)送數(shù)據(jù)的次序是下述的順序( 1)待發(fā)送數(shù)據(jù)送 SBUF(2)硬件自動(dòng)將 SCON的TI 置 1( 3)經(jīng)

39、TXD()串行發(fā)送一幀數(shù)據(jù)完畢(4)用軟件將 TI 清 0A 程序返回到 ACALL的下一句程序返回到 LCALLA(1)(3)(2)(4)B( 1)(2)(3)(4)的下一句C(4)(3)(1)(2)D( 3)(4)(1)(2)C程序返回到主程序開始處程序返回到響應(yīng)165 8051 單片機(jī)串行口用工作方式 0時(shí), C中斷時(shí)一句的下一句160161 MCS-51單片機(jī)響應(yīng)中斷矢量地址是 C 。A中斷服務(wù)程序的首句地址B中斷服務(wù)程序的出口地址C中斷服務(wù)程序的入口地址D主程序等待中斷指令的地址162當(dāng) TCON的 IT0 為 1,且 CPU響應(yīng)外部中斷 0, INT0的 中斷請(qǐng)求后, C 。A需用

40、軟件將 IE0 清 0B需用軟件將 IE0 置 1C硬件自動(dòng)將 IE0 清 0D INT0 (管腳)為高電平時(shí)自動(dòng)將 IE0 清 0163MCS-51單片機(jī)串行口接收數(shù)據(jù)的次序是下述的順序A數(shù)據(jù)從 RDX串行輸入,從 TXD串行輸出B數(shù)據(jù)從 RDX串行輸出,從 TXD串行輸入C數(shù)據(jù)從 RDX串行輸入或輸出,同步信號(hào)從TXD輸出D數(shù)據(jù)從 TXD串行輸入或輸出,同步信號(hào)從RXD輸出 166一主程序中有一句 LP:SJMP LP,功能指令為等待中斷, 當(dāng)發(fā)生中斷且中斷返回后, D 。A返回到主程序開始處B 返回到該句的下一條指令處C返回到該句的上一條指令處D 返回到該句167一中斷子程序的最后一句不

41、是 RETI 而是 RET,中斷返回后 B 。A返回到主程序中 ACALL或 LCALL的下一句B返回到主程序中響應(yīng)中斷時(shí)一句的下一句C返回到主程序開始處D 返回到 0000H 處1681)接收完一幀數(shù)據(jù)后,硬件自動(dòng)將SCON的 R1 置 12)用軟件將 RI 清零3)接收到的數(shù)據(jù)由 SBUF讀出(4 )置 SCON的 REN為 1,外部數(shù)據(jù)由 RXD輸入A(1)(2)(3)(4)(4)(1)(2)(3)C(4)(3)(1)(2)(3)(4)(1)(2)169外部中斷源 INT 1(外部中斷 1)的矢量地址為 C 。A 0003HB 000BH C 0013HD002BH170 8051 單片

42、機(jī)共有 B 中斷源。A4B5C6D7171對(duì)定時(shí)器控制寄存器 TCON中的 IT1 和 IT0 位清 0 后,則 外部中斷請(qǐng)求信號(hào)方式為 A 。A 低電平有效 B 高電平有效 C 脈沖上跳沿有效 D 脈沖負(fù)跳有效172單片機(jī)中 PUSH和 POP指令通常用來(lái) C 。A 保護(hù)斷點(diǎn) B 保護(hù)現(xiàn)場(chǎng) C 保護(hù)現(xiàn)場(chǎng)恢復(fù)現(xiàn)場(chǎng) D 保護(hù)斷點(diǎn)恢復(fù)斷點(diǎn)機(jī)電接口技術(shù)173共陽(yáng)極 LED數(shù)碼管加反相器驅(qū)動(dòng)時(shí)顯示字符“ 6”的段碼是 B 。A06HB7DHC 82HDFAH174ADC 0809芯片是 m路模擬輸入的 n位 A/D轉(zhuǎn)換器, m、n 是 A 。A 8 、8B8 、9C8、16 D 1、8175當(dāng) DAC

43、0 832 D/A 轉(zhuǎn)換器的 CS 接 8031 的時(shí), 程序中 0832的地址指針 DPTR寄存器應(yīng)置為 D 。A 0832HB FE00HC FEF8HD以上三種都可以簡(jiǎn)答題單字節(jié)雙周期指令的執(zhí)行時(shí)間為 2T2*2 s4s3 CPU響應(yīng)中斷的條件有哪些答: 1、CPU沒(méi)有處在一個(gè)同級(jí)或高級(jí)的中斷服務(wù)中2、查詢中斷請(qǐng)求的機(jī)器周期是當(dāng)前指令的最后一個(gè)機(jī)器 周期3、當(dāng)前指令不是返回指令( RET,RETI)或訪問(wèn) IE 、IP 的 指令。5各中斷源對(duì)應(yīng)的中斷服務(wù)程序的入口地址是否能任意設(shè)定 如果想將中斷服務(wù)程序放置在程序存儲(chǔ)區(qū)的任意區(qū)域,在程序 中應(yīng)該作何種設(shè)置請(qǐng)舉例加以說(shuō)明。答:各中斷源的入口

44、地址已經(jīng)在中斷地址區(qū)中被定義了,不能 任意設(shè)定。如果要將中斷服務(wù)程序放置在程序存儲(chǔ)區(qū)的任意區(qū)域,在 程序中要通過(guò)在中斷地址區(qū)的對(duì)應(yīng)地址上設(shè)置跳轉(zhuǎn)指令才可實(shí) 現(xiàn)對(duì)中斷服務(wù)程序的執(zhí)行。例如:外部中斷 0 的中斷服務(wù)程序 INT0P 放置在程序存儲(chǔ) 區(qū)的任意區(qū)域,此時(shí),通過(guò)以下方式,可實(shí)現(xiàn)對(duì)中斷服務(wù)程序 的執(zhí)行:ORG 0003HLJMP INTOP 列舉其他中斷跳轉(zhuǎn)的例子也可,但敘述的中斷源要與中斷地址 相對(duì)應(yīng)才可得分,如外中斷 0 對(duì)應(yīng) 0003H 地址。6. 已知一單片機(jī)系統(tǒng)的外接晶體振蕩器的振蕩頻率為6MHz,請(qǐng)計(jì)算該單片機(jī)系統(tǒng)的拍節(jié) P、狀態(tài) S、機(jī)器周期所對(duì)應(yīng)的時(shí)間 是多少指令周期中的單

45、字節(jié)雙周期指令的執(zhí)行時(shí)間是多少 答:已知 fosc=6MHZ則:系統(tǒng)的拍節(jié) P 1/fosc=1/(6*106)s=1/6 s=s 系統(tǒng)的狀態(tài) S 2P 2*1/6 s s 機(jī)器周期 T6S12P 12*1/6 s2 s單片機(jī)的片內(nèi) RAM低 128 單元分哪 3 個(gè)主要部分各部分的主要 功能是什么答: 80C51單片機(jī)的片內(nèi) RAM低 128 單元分為:寄存器區(qū) (00H1FH),共有四個(gè)寄存器組, 主要為寄存器訪問(wèn) 方式,常用數(shù)據(jù)存儲(chǔ)。位尋址區(qū) ( 20H2FH),主要用于位數(shù)據(jù)存放, 也可用于存放字 節(jié)數(shù)據(jù)。普通用戶 RAM區(qū)( 30H7FH),只用于存放字節(jié)數(shù)據(jù)。 單片機(jī)系統(tǒng)中,外接程

46、序存儲(chǔ)器和數(shù)據(jù)存儲(chǔ)器公用 16 位地址線 和 8 位數(shù)據(jù)線,為什么不會(huì)發(fā)生沖突答:因?yàn)?MCS-51單片機(jī)訪問(wèn)外部程序存儲(chǔ)器用的指令是MOV,C控制線為 PSEN ,而訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),使用的指令是 MOV,X 有效的控制信號(hào)是 RD ,WR 。所以不會(huì)發(fā)生沖突。10. 簡(jiǎn)述動(dòng)態(tài)顯示與靜態(tài)顯示的區(qū)別及優(yōu)缺點(diǎn) 答:靜態(tài)顯示,就是當(dāng)顯示器顯示某一個(gè)字符時(shí),相應(yīng)的發(fā)光 二極管恒定的導(dǎo)通或截止。這種顯示方式每一位都需要有一個(gè)8 位輸出口控制,亮度大,耗電也大。需要的 I/O 端口較多, 如四個(gè)七段數(shù)碼管需要 32 根口線,適用于顯示位數(shù)較少的場(chǎng) 合。但編程簡(jiǎn)單。動(dòng)態(tài)顯示,就是一位一位地輪流點(diǎn)亮顯示

47、器各個(gè)位(掃 描),對(duì)于顯示器的每一位來(lái)說(shuō), 每隔一段時(shí)間點(diǎn)亮一次。 利用 人的視覺(jué)暫留功能可以看到整個(gè)顯示,但必須保證掃描速度足 夠快,字符才不閃爍。將各顯示器的段碼同名端邊在一起,用 一個(gè) I/O 端口驅(qū)動(dòng);位碼用另一個(gè) I/O 端口分別控制。如四個(gè) 七段數(shù)碼管只需要 11 根口線。編程較復(fù)雜。16簡(jiǎn)述 MCS-51指令系統(tǒng)的尋址方式及對(duì)應(yīng)的尋址空間。MCS-51 指令系統(tǒng)有 7 種尋址方式,分別為: 1)寄存器尋址,對(duì)工作寄存器 R0-R7 和 A,B,C,DPTR 進(jìn)行尋址。 2)直接尋 址,對(duì)片內(nèi) RAM低 128字節(jié)及 SFR尋址。 3)寄存器間接尋址, 對(duì)片內(nèi) RAM低 128 字節(jié),片外 RAM尋址。 4)立即尋址,對(duì)程序 存儲(chǔ)器尋址。 5)相對(duì)尋址,對(duì)程序存儲(chǔ)器 256 字節(jié)范圍尋址。 6)基址寄存器加變址寄存器間接尋址(變址尋址),對(duì)程序存 儲(chǔ)器尋址。 7)位尋址, 對(duì)片內(nèi) RAM的 20H-2FH 字節(jié)地址及部分 特殊功能寄存器尋址。17. 簡(jiǎn)述子程序調(diào)用和執(zhí)行中斷服務(wù)程序的異同點(diǎn)。相同點(diǎn) : 均能中斷主程序執(zhí)行本程序 , 然后再返回?cái)帱c(diǎn)地址 繼續(xù)執(zhí)行主程序。不同點(diǎn): 1)中斷服務(wù)程序入口地址是固定的, 子程序調(diào)用 入口地址是用戶自己設(shè)定的。2 )中斷服務(wù)子程序返回指令除具有子程序返回指令所

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論