課程設(shè)計(jì)報(bào)告四位加法器設(shè)計(jì)_第1頁(yè)
課程設(shè)計(jì)報(bào)告四位加法器設(shè)計(jì)_第2頁(yè)
課程設(shè)計(jì)報(bào)告四位加法器設(shè)計(jì)_第3頁(yè)
課程設(shè)計(jì)報(bào)告四位加法器設(shè)計(jì)_第4頁(yè)
課程設(shè)計(jì)報(bào)告四位加法器設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩9頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、EDA課程設(shè)計(jì)題目 : 四位加法器設(shè)計(jì)學(xué)號(hào) : 200906024245姓名 :梁曉群班級(jí) : 機(jī)自094指導(dǎo)老師 :韓曉燕2011年12月28日2011年12月30日目錄摘要-3EDA簡(jiǎn)介-3概述-41.1目的與要求-41.2實(shí)驗(yàn)前預(yù)習(xí)-41.3設(shè)計(jì)環(huán)境-5四位全加器的設(shè)計(jì)過(guò)程-52.1 半加器的設(shè)計(jì)-62.2一位全加器的設(shè)計(jì)-92.3四位全加器的設(shè)計(jì)-11收獲與心得體會(huì)-13摘要本文主要介紹了關(guān)于EDA技術(shù)的基本概念及應(yīng)用,EDA設(shè)計(jì)使用的軟件Quartus7.2的基本操作及使用方法,以及半加器、1位全加器和四位全加器的設(shè)計(jì)及仿真過(guò)程。EDA簡(jiǎn)介EDA的概念EDA技術(shù)就是以計(jì)算機(jī)為工具,設(shè)

2、計(jì)者在EDA軟件平臺(tái)上,用硬件描述語(yǔ)言HDL完成設(shè)計(jì)文件,然后由計(jì)算機(jī)自動(dòng)地完成邏輯編譯、化簡(jiǎn)、分割、綜合、優(yōu)化、布局、布線和仿真,直至對(duì)于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作.EDA是電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation)的縮寫(xiě),在20世紀(jì)90年代初從計(jì)算機(jī)輔助設(shè)計(jì)(CAD)、計(jì)算機(jī)輔助制造(CAM)、計(jì)算機(jī)輔助測(cè)試(CAT)和計(jì)算機(jī)輔助工程(CAE)的概念發(fā)展而來(lái)的。 EDA代表了當(dāng)今電子設(shè)計(jì)技術(shù)的最新發(fā)展方向,它的基本特征是:設(shè)計(jì)人員按照“自頂向下”的設(shè)計(jì)方法,對(duì)整個(gè)系統(tǒng)進(jìn)行方案設(shè)計(jì)和功能劃分,系統(tǒng)的關(guān)鍵電路用一片或幾片專(zhuān)用集成電路(ASI

3、C)實(shí)現(xiàn),然后采用硬件描述語(yǔ)言(HDL)完成系統(tǒng)行為級(jí)設(shè)計(jì),最后通過(guò)綜合器和適配器生成最終的目標(biāo)器件,這樣的設(shè)計(jì)方法被稱為高層次的電子設(shè)計(jì)方法?,F(xiàn)在對(duì)EDA的概念或范疇用得很寬。包括在機(jī)械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個(gè)領(lǐng)域,都有EDA的應(yīng)用。目前EDA 技術(shù)已在各大公司、企事業(yè)單位和科研教學(xué)部門(mén)廣泛使用。例如在飛機(jī)制造過(guò)程中,從設(shè)計(jì)、性能測(cè)試及特性分析直到飛行模擬,都可能涉及到EDA技術(shù)。本文所指的EDA技術(shù),主要針對(duì)電子電路設(shè)計(jì)、PCB設(shè)計(jì)和IC設(shè)計(jì)。EDA 設(shè)計(jì)可分為系統(tǒng)級(jí)、電路級(jí)和物理實(shí)現(xiàn)級(jí)。利用EDA工具,電子設(shè)計(jì)師可以從概念、算法、協(xié)議等開(kāi)始設(shè)計(jì)電子系統(tǒng),

4、大量工作可以通過(guò)計(jì)算機(jī)完成,并可以將電子產(chǎn)品從電路設(shè)計(jì)、性能分析到設(shè)計(jì)出IC版圖或PCB版圖的整個(gè)過(guò)程在計(jì)算機(jī)上自動(dòng)處理完成。現(xiàn)在對(duì)EDA的概念或范疇用得很廣。包括在機(jī)械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個(gè)領(lǐng)域,都有EDA的應(yīng)用1。目前EDA技術(shù)已在各大公司、企事業(yè)單位和科研教學(xué)部門(mén)廣泛使用。例如在飛機(jī)制造過(guò)程中,從設(shè)計(jì)、性能測(cè)試及特性分析直到飛行模擬,都可能涉及到EDA技術(shù)。本次畢業(yè)設(shè)計(jì)課題實(shí)現(xiàn)的核心技術(shù)即為EDA相關(guān)技術(shù)。一 、概述1.1目的與要求本實(shí)驗(yàn)課程的目的,旨在通過(guò)上機(jī)實(shí)驗(yàn),使學(xué)生加深理解EDA技術(shù)的基本方法,幫助和培養(yǎng)學(xué)生建立利用原理圖和硬件描述語(yǔ)言進(jìn)行電路

5、設(shè)計(jì)的基本方法和利用EDA工具軟件(MAX+plus或Quartus7.2)設(shè)計(jì)簡(jiǎn)單數(shù)字電子系統(tǒng)的能力,為以后從事有關(guān)數(shù)字電子系統(tǒng)方面的設(shè)計(jì)和研究開(kāi)發(fā)工作打下基礎(chǔ)。1.2實(shí)驗(yàn)前預(yù)習(xí)每次實(shí)驗(yàn)前,學(xué)生須仔細(xì)閱讀本實(shí)驗(yàn)指導(dǎo)書(shū)的相關(guān)內(nèi)容,明確實(shí)驗(yàn)?zāi)康暮蛯?shí)驗(yàn)內(nèi)容;明確實(shí)驗(yàn)原理與步驟;復(fù)習(xí)與實(shí)驗(yàn)內(nèi)容有關(guān)的理論知識(shí);預(yù)習(xí)儀器設(shè)備的使用方法、操作規(guī)程及注意事項(xiàng)。1.3設(shè)計(jì)環(huán)境Quartus簡(jiǎn)介Quartus是Altera公司提供的FPGA/CPLD集成開(kāi)發(fā)軟件,Altera是世界上最大的可編程邏輯器件供應(yīng)商之一。 Quartus在21世初推出,是Altera全一代FPGA/CPLD集成開(kāi)發(fā)軟件MAX+plus

6、 II的更新?lián)Q代產(chǎn)品,其界面友好,使用便捷。在Quartus上可以完成設(shè)計(jì)輸入、HDL綜合、布新布局(適配)、仿真和選擇以及硬件測(cè)試等流程,它提供了一種與結(jié)構(gòu)無(wú)關(guān)的設(shè)計(jì)環(huán)境,使設(shè)計(jì)者能方便地進(jìn)行設(shè)計(jì)輸入、開(kāi)始處理和器件編程。 Quartus提供了完整的多平臺(tái)設(shè)計(jì)環(huán)境,能滿足各種特定設(shè)計(jì)的需求,也是單片機(jī)可編程系統(tǒng)(SoPC)設(shè)計(jì)的綜合環(huán)境和SoPC開(kāi)發(fā)的基本設(shè)計(jì)工具,并為Altera DSP開(kāi)發(fā)包進(jìn)行系統(tǒng)模型設(shè)計(jì)提供了集成綜合環(huán)境。Quartus設(shè)計(jì)完全支持VHDL、Verilog的設(shè)計(jì)流程,其內(nèi)部嵌有VHDL、Verilog邏輯綜合器。Quartus與可用利用第三方的綜合工具(如Leonar

7、do Spectrum、Synplify Pro、FPGA Complier II),并能直接調(diào)用這些工具。同樣 Quartus具備仿真功能,同時(shí)支持第三方的仿真工具(如ModelSin)。此外, Quartus與MATLAB和DSP Builder結(jié)合,可用進(jìn)行基于FPAG的DSP系統(tǒng)開(kāi)發(fā),是DSP硬件系統(tǒng)實(shí)現(xiàn)的工具EDA工具。二、4位全加器的設(shè)計(jì)實(shí)現(xiàn)過(guò)程2.1半加器的設(shè)計(jì)一位半加器真值表表3-1一位半加器真值表XnYnFnCn0000011010101101一位半加器原理圖:在MAX+plus II工具軟件的元件庫(kù)中已經(jīng)有與門(mén)、或門(mén)、與非門(mén)和異或門(mén)等元件,在設(shè)計(jì)中可直接調(diào)用這些元件,實(shí)現(xiàn)電

8、路設(shè)計(jì)。原理圖如下:圖1 半加器原理圖 在元件選擇對(duì)話框的符號(hào)庫(kù)“Symbol Libraries”欄目中,用鼠標(biāo)雙擊基本元件庫(kù)文件夾“d:maxplus2max2libprim”后,在符號(hào)文件“Symbol Files”欄目中列出了該庫(kù)的基本元件的元件名,例如and2(二輸入端的與門(mén))、xor(異或門(mén))、VCC(電源)、input(輸入)和output(輸出)等。在元件選擇對(duì)話框的符號(hào)名“Symbol Name”欄目?jī)?nèi)直接輸入xor,或者在“Symbol Files”欄目中,用鼠標(biāo)雙擊“xor”元件名,即可得到異或門(mén)的元件符號(hào)。用上述同樣的方法也可以得到其他元件符號(hào)。2、編輯半加器的原理圖半

9、加器邏輯電路圖如圖1所示,它由1個(gè)異或門(mén)和1個(gè)與門(mén)構(gòu)成,a、b是輸入端,SO是和輸出端,CO是向高位的進(jìn)位輸出端。 在元件選擇對(duì)話框的符號(hào)名“Symbol Name”欄目?jī)?nèi)直接輸入xor,或者在“Symbol Files”欄目中,用鼠標(biāo)雙擊“xor”元件名,即可得到異或門(mén)的元件符號(hào)。用上述同樣的方法也可以得到與門(mén)及輸入端和輸出端的元件符號(hào)。用鼠標(biāo)雙擊輸入或輸出元件中原來(lái)的名稱,使其變黑后就可以進(jìn)行名稱修改,用這種方法把兩個(gè)輸入端的名稱分別更改為“a”和“b”,把兩個(gè)輸出端的名稱分別更改為“SO”和“CO”,然后按照?qǐng)D1所示的半加器邏輯電路的連接方式,用鼠標(biāo)將相應(yīng)的輸入端和輸出端及電路內(nèi)部連線連

10、接好,并以“h_addergdf”(注意后綴是gdf)為文件名,存在自己建立的工程目錄d:myedamygdf內(nèi)。進(jìn)行存盤(pán)操作時(shí),系統(tǒng)在彈出的存盤(pán)操作對(duì)話框中,自動(dòng)保留了上一次存盤(pán)時(shí)的文件名和文件目錄,不要隨意單擊“OK”按鈕結(jié)束存盤(pán),一定要填入正確的文件名并選擇正確的工程目錄后,才能單擊“OK”按鈕存盤(pán),這是上機(jī)實(shí)驗(yàn)時(shí)最容易忽略和出錯(cuò)的地方。 3、編譯設(shè)計(jì)圖形文件4、生成元件符號(hào) 5、功能仿真設(shè)計(jì)文件 仿真,也稱為模擬(Simulation);是對(duì)電路設(shè)計(jì)的一種間接的檢測(cè)方法。對(duì)電路設(shè)計(jì)的邏輯行為和功能進(jìn)行模擬檢測(cè),可以獲得許多設(shè)計(jì)錯(cuò)誤及改進(jìn)方面的信息。對(duì)于大型系統(tǒng)的設(shè)計(jì),能進(jìn)行可靠、快速、

11、全面的仿真尤為重要。建立波形文件 進(jìn)行仿真時(shí)需要先建立仿真文件。在Max+p1us II環(huán)境執(zhí)行“File”的“New”命令,再選擇彈出的對(duì)話框中的Waveform Editor fi1e項(xiàng),波形編輯窗口即被打開(kāi)。輸入信號(hào)節(jié)點(diǎn) 在波形編輯方式下,執(zhí)行“Node”的“Nodes from SNF”命令,彈出輸入節(jié)點(diǎn)“Enter Nodes from SNF”對(duì)話框,在對(duì)話框中首先單擊“List”按鈕,這時(shí)在對(duì)話框左邊的“Available NodesGroups” (可利用的節(jié)點(diǎn)與組)框中將列出該設(shè)計(jì)項(xiàng)目的全部信號(hào)節(jié)點(diǎn)。若在仿真中只需要觀察部分信號(hào)的波形,則首先用鼠標(biāo)將選中的信號(hào)名點(diǎn)黑,然后單擊

12、對(duì)話框中間的“=>”按鈕,選中的信號(hào)即進(jìn)入到對(duì)話框右邊的“Selected NodesGroups”(被選擇的節(jié)點(diǎn)與組)框中。如果需要?jiǎng)h除“被選擇的節(jié)點(diǎn)與組”框中的節(jié)點(diǎn)信號(hào),也可以用鼠標(biāo)將其名稱點(diǎn)黑,然后單擊對(duì)話框中間的“<="按鈕。節(jié)點(diǎn)信號(hào)選擇完畢后,單擊“OK”按鈕即可。設(shè)置波形參量 在波形編輯對(duì)話框中調(diào)入了半加器的所有節(jié)點(diǎn)信號(hào)后,還需要為半加器輸入信號(hào)a和b設(shè)定必要的測(cè)試電平等相關(guān)的仿真參數(shù)。如果希望能夠任意設(shè)置輸入電平位置或設(shè)置輸入時(shí)鐘信號(hào)的周期,可以在Options選項(xiàng)中,取消網(wǎng)格對(duì)齊Snap to Grid的選擇(取消鉤)。設(shè)定仿真時(shí)間寬度 在仿真對(duì)話框,默認(rèn)

13、的仿真時(shí)間域是1S。如果希望有足夠長(zhǎng)的時(shí)間觀察仿真結(jié)果,可以選擇“File”命令菜單中的“End Time”選項(xiàng),在彈出的“End Time”對(duì)證框中,填入適當(dāng)?shù)姆抡鏁r(shí)間域(如5S)即可。 加入輸入信號(hào)為輸入信號(hào)a和b設(shè)定測(cè)試電平的方法及相關(guān)操作如教材圖2.1.3所示,利用必要的功能鍵為a和b加上適當(dāng)?shù)碾娖?,以便仿真后能測(cè)試so和co輸出信號(hào)。 波形文件存盤(pán)以“h_adderscf”(注意后綴是scf)為文件名,存在自己建立的工程目錄d:myedamygdf內(nèi)。在波形文件存盤(pán)時(shí),系統(tǒng)將本設(shè)計(jì)電路的波形文件名自動(dòng)設(shè)置為“h_adder.scf”,因此可以直接單擊確定按鈕。進(jìn)行仿真波形文件存盤(pán)后,

14、執(zhí)行“Max+p1us II”選項(xiàng)中的仿真器“Simulator”命令,單擊彈出的“仿真開(kāi)始”對(duì)話框中的“Start”按鈕,即可完成對(duì)半加器設(shè)計(jì)電路的仿真,可通過(guò)觀察仿真波形進(jìn)行設(shè)計(jì)電路的功能驗(yàn)證。半加器波形顯示如下圖:半加器波形2.2 1位全加器的設(shè)計(jì)1、編輯1位全加器的原理圖1位全加器可以用兩個(gè)半加器及一個(gè)或門(mén)連接而成。其原理圖如圖1所示。在Quartus7.2圖形編輯方式下,在用戶目錄中找到自己設(shè)計(jì)的半加器元件h_adder,并把它調(diào)入原理圖編輯框中(調(diào)入兩個(gè)),另外從d:maxplus2max2libprim元件庫(kù)中調(diào)出一個(gè)兩輸入端的或門(mén),并加入相應(yīng)的輸入和輸出元件,按照?qǐng)D1所示電路連

15、線,得到1位全加器電路的設(shè)計(jì)結(jié)果。電路中的a和b是兩個(gè)1位二進(jìn)制加數(shù)輸入,cin是低位來(lái)的進(jìn)位輸入,sum是和輸出,cout是向高位進(jìn)位輸出。2、設(shè)計(jì)文件存盤(pán)與編譯完成1位全加器電路原理圖的編輯后,以f_addergdf為文件名將1位全加器電路原理圖設(shè)計(jì)文件保存在工程目錄中,“.gdf”表示圖形文件。進(jìn)行存盤(pán)操作時(shí),系統(tǒng)在彈出的存盤(pán)操作對(duì)話框中,自動(dòng)保留了上一次存盤(pán)時(shí)的文件名和文件目錄,操作者不要隨意單擊“OK”按鈕結(jié)束存盤(pán),一定要填入正確的文件名并選擇正確的工程目錄后,才能單擊“OK”按鈕存盤(pán),這是初學(xué)者上機(jī)實(shí)驗(yàn)時(shí)最容易忽略和出錯(cuò)的地方。圖1 1位全加器原理圖3、仿真設(shè)計(jì)文件 在Quartu

16、s7.2波形編輯方式下,編輯f_addergdf的波形文件,并完成輸入信號(hào)a、b和cin輸入電平的設(shè)置。波形文件編輯結(jié)束后也要將波形文件保存在工程目錄中,在存盤(pán)操作時(shí),系統(tǒng)會(huì)自動(dòng)將當(dāng)前設(shè)計(jì)的文件名作為波形文件名,并以.scf為文件類(lèi)型(例如1位全加器的波形文件是f_adder.scf),所以操作者可以直接單擊“OK”按鈕結(jié)束波形文件的存盤(pán)操作。波形文件存盤(pán)后,執(zhí)行啟動(dòng)仿真器“Simulator”命令開(kāi)始仿真,可通過(guò)觀察仿真波形進(jìn)行設(shè)計(jì)電路的功能驗(yàn)證。1位全加器波形如下圖:1位全加器波形2.3 4位全加器的設(shè)計(jì) 4位加法器的設(shè)計(jì)中,全加器成為底層文件ain3.0和bin3.0是兩個(gè)4位二進(jìn)制輸入

17、端,cin是低位來(lái)得進(jìn)位輸入端,sum3.0是4位和輸出端,cout是向高位進(jìn)位的輸出端。原理圖如圖2所示。圖2 4位加法器原理圖4位全加器波形三、心得體會(huì)緊張的課程設(shè)計(jì)接近了尾聲,通過(guò)這次有關(guān)于EDA技術(shù)的課程設(shè)計(jì)的學(xué)習(xí)與應(yīng)用,我基本了解了EDA技術(shù)的相關(guān)應(yīng)用,也掌握了EDA設(shè)計(jì)的相關(guān)軟件Quartus7.2的最基礎(chǔ)的使用方法,豐富了我們的設(shè)計(jì)手段,也讓我了解了更多的仿真方法。在上機(jī)操作的過(guò)程中,剛開(kāi)始我們遇到了很多的困難,對(duì)軟件的不熟悉以及對(duì)原理掌握的不透徹,使得剛開(kāi)始的時(shí)候舉步維艱,但是經(jīng)過(guò)對(duì)最簡(jiǎn)單的模型的設(shè)計(jì)及仿真練習(xí)過(guò)后,我們基本掌握了軟件的使用方法,再根據(jù)我們所學(xué)習(xí)過(guò)的數(shù)電模電的知識(shí)將四位全加器的原理圖做出來(lái)之后,通過(guò)軟件仿真及對(duì)各個(gè)參數(shù)的設(shè)置,我們不斷調(diào)試仿真出來(lái)的波形,是全加器的仿真達(dá)到最佳效果。這期間我們也了解到,雖然軟件的仿真功能很強(qiáng)大,但是還是需要操作人員

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論