第3章數(shù)字交換機(jī)的話路部分nppt課件_第1頁
第3章數(shù)字交換機(jī)的話路部分nppt課件_第2頁
第3章數(shù)字交換機(jī)的話路部分nppt課件_第3頁
第3章數(shù)字交換機(jī)的話路部分nppt課件_第4頁
第3章數(shù)字交換機(jī)的話路部分nppt課件_第5頁
已閱讀5頁,還剩125頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、第3章 數(shù)字交換機(jī)的話路部分q 在技術(shù)上的:能提供許多新的服務(wù)性能在技術(shù)上的:能提供許多新的服務(wù)性能q 維護(hù)管理方便、可靠性高維護(hù)管理方便、可靠性高q 靈活性大、便于采用新技術(shù)和增加新靈活性大、便于采用新技術(shù)和增加新業(yè)務(wù)業(yè)務(wù) q 在經(jīng)濟(jì)上的:在交換設(shè)備上在經(jīng)濟(jì)上的:在交換設(shè)備上q 在線路設(shè)備上在線路設(shè)備上q 在維護(hù)和生產(chǎn)方面在維護(hù)和生產(chǎn)方面程控交換機(jī)的優(yōu)越性程控交換機(jī)的優(yōu)越性TDM原理原理程控?cái)?shù)字交換機(jī)的根本任務(wù)是通過數(shù)字程控?cái)?shù)字交換機(jī)的根本任務(wù)是通過數(shù)字交換來實(shí)現(xiàn)任意兩個(gè)用戶之間的語音交換,交換來實(shí)現(xiàn)任意兩個(gè)用戶之間的語音交換,即在這兩個(gè)用戶之間建立一條數(shù)字話音通即在這兩個(gè)用戶之間建立一條數(shù)

2、字話音通道。道。最簡單的數(shù)字交換方法是給這兩個(gè)要求最簡單的數(shù)字交換方法是給這兩個(gè)要求通話的用戶之間分配一個(gè)公共時(shí)隙時(shí)分通話的用戶之間分配一個(gè)公共時(shí)隙時(shí)分通路),兩個(gè)用戶的模擬話音信號(hào)經(jīng)數(shù)字通路),兩個(gè)用戶的模擬話音信號(hào)經(jīng)數(shù)字化后都進(jìn)入這個(gè)特定的時(shí)隙化后都進(jìn)入這個(gè)特定的時(shí)隙Time Slot,TS),各路時(shí)隙按著時(shí)間的先后順序匯總),各路時(shí)隙按著時(shí)間的先后順序匯總送入到同一根同軸電纜中進(jìn)行各自獨(dú)立的送入到同一根同軸電纜中進(jìn)行各自獨(dú)立的傳輸,接收時(shí)再按一定的時(shí)序?qū)⒏髀窌r(shí)隙傳輸,接收時(shí)再按一定的時(shí)序?qū)⒏髀窌r(shí)隙的話路信號(hào)再分配給接收方。的話路信號(hào)再分配給接收方。 對于話音信號(hào),在抽樣前,必須經(jīng)帶通濾波

3、器濾波,使對于話音信號(hào),在抽樣前,必須經(jīng)帶通濾波器濾波,使其頻帶限制在其頻帶限制在3003400Hz之內(nèi),抽樣頻率之內(nèi),抽樣頻率fs8000Hz,抽樣周期為抽樣周期為125us。 使用使用A律進(jìn)行編碼的律進(jìn)行編碼的30/32路路PCM系統(tǒng),每系統(tǒng),每125us有有32各時(shí)隙稱為一幀)各時(shí)隙稱為一幀) :TS1TS15,TS17TS31傳輸話傳輸話路信息,稱為話路,每幀有路信息,稱為話路,每幀有30個(gè)話路;偶數(shù)幀的個(gè)話路;偶數(shù)幀的TS0傳送幀傳送幀同步碼,奇數(shù)幀的同步碼,奇數(shù)幀的TS0傳送失步告警。傳送失步告警。TS16傳各路的信令傳各路的信令碼,每路信令碼占碼,每路信令碼占4位。位。F0幀的幀

4、的TS16前前4位傳復(fù)幀同步碼,位傳復(fù)幀同步碼,后后4位中的第二位即位中的第二位即8位碼中的第位碼中的第6位傳復(fù)幀失步告警。位傳復(fù)幀失步告警。32路路PCM的幀結(jié)構(gòu)的幀結(jié)構(gòu)第三章 數(shù)字交換機(jī)的話路部分n3.1 數(shù)字交換機(jī)的系統(tǒng)結(jié)構(gòu)n3.2 用戶模塊的組成n3.3 中繼器n3.4 音頻信號(hào)的產(chǎn)生、發(fā)送和接收n3.5 數(shù)字交換和數(shù)字交換網(wǎng)絡(luò)數(shù)字交換機(jī)的系統(tǒng)結(jié)構(gòu)數(shù)字交換機(jī)的系統(tǒng)結(jié)構(gòu)3.1 數(shù)字交換機(jī)的系統(tǒng)結(jié)構(gòu) 數(shù)字交換機(jī)的話路部分分成選組級(jí)數(shù)字交換網(wǎng)絡(luò)和用戶級(jí)用戶模塊和遠(yuǎn)端模塊兩部分。 每部分都有各自的處理機(jī)進(jìn)行控制,各處理機(jī)間則通過通信信息進(jìn)行聯(lián)系。接口設(shè)備:是實(shí)現(xiàn)數(shù)字交換系統(tǒng)和外圍環(huán)境的接口。接

5、口設(shè)備:是實(shí)現(xiàn)數(shù)字交換系統(tǒng)和外圍環(huán)境的接口。遠(yuǎn)端接口:是到集中維護(hù)操作中心、網(wǎng)管中心、計(jì)費(fèi)中心遠(yuǎn)端接口:是到集中維護(hù)操作中心、網(wǎng)管中心、計(jì)費(fèi)中心等的數(shù)據(jù)傳送接口。等的數(shù)據(jù)傳送接口。用戶集線器:完成話務(wù)集中功能,集中比一般為用戶集線器:完成話務(wù)集中功能,集中比一般為2:1到到8:1一般為單一般為單T交換網(wǎng)絡(luò)。交換網(wǎng)絡(luò)。用戶模塊:用戶集線器用戶模塊:用戶集線器+用戶電路用戶電路遠(yuǎn)端模塊:設(shè)置在遠(yuǎn)端的用戶模塊。遠(yuǎn)端模塊:設(shè)置在遠(yuǎn)端的用戶模塊。幾個(gè)概念幾個(gè)概念n用戶級(jí):集中用戶話務(wù)量,并通過數(shù)字中繼線和選組級(jí)相連。 分為用戶模塊和遠(yuǎn)端用戶模塊。n 遠(yuǎn)端模塊模塊局):將用戶級(jí)的設(shè)備放到用戶集中點(diǎn),也是和

6、選組級(jí)通過數(shù)字中繼線相連,但要加數(shù)字中繼設(shè)備PCM碼型轉(zhuǎn)換和信號(hào)通信)。n用戶模塊和遠(yuǎn)端模塊通過交換網(wǎng)絡(luò)稱為用戶交換網(wǎng)絡(luò)進(jìn)行話務(wù)量的集中。n采用遠(yuǎn)端用戶模塊的優(yōu)點(diǎn):n節(jié)約了線路投資,擴(kuò)大了交換局服務(wù)范圍n提高網(wǎng)絡(luò)的靈活性n改善了用戶線的傳輸質(zhì)量n簡化了用戶進(jìn)入高速數(shù)據(jù)通路的實(shí)現(xiàn)n采用分級(jí)控制,提高了可靠性n模塊和選組級(jí)間可采用公共信道信號(hào)3.2 用戶模塊的組成1. 用戶模塊的基本結(jié)構(gòu)用戶電路 用戶級(jí)交換網(wǎng)絡(luò)T接線器組成):即“集線器”信號(hào)提取和插入電路:負(fù)責(zé)將處理機(jī)通信信息從信息流中提取或插入進(jìn)去);網(wǎng)絡(luò)接口:用于和數(shù)字交換網(wǎng)絡(luò)的接口 掃描存儲(chǔ)器:用于暫存從用戶電路讀取的信息; 分配存儲(chǔ)器:用

7、于暫存向用戶電路發(fā)出的命令信息。 用戶模塊的用戶端可接若干個(gè)用戶,其數(shù)量可從302048不等,每一個(gè)用戶分配一個(gè)時(shí)隙,在用戶模塊的輸出端進(jìn)行集中,例如集中到128個(gè)時(shí)隙。2. 用戶電路模擬用戶電路的功能可歸納為模擬用戶電路的功能可歸納為BORSCHT七個(gè)功能:七個(gè)功能:B(Battery feeding)饋電饋電O(Overvoltage protection)過壓保護(hù)過壓保護(hù)R(Ringing control)振鈴控制振鈴控制S(Supervision)監(jiān)視監(jiān)視C(CODEC & filters)編譯碼和濾波編譯碼和濾波H(Hybird circuit)混合電路混合電路T(Test)

8、測試測試電容的特性:電容的特性:“隔直流,通交流隔直流,通交流”電感的特性:電感的特性:“隔交流,通直流隔交流,通直流”(-48v)饋電Battery feeding) 功能:向用戶提供通話直流 由集成電路實(shí)現(xiàn)2過壓保護(hù)O(Overvoltage protection) 用戶外線可能受到雷電襲擊,也可能和高壓線相碰。在總配線架上對每一條用戶線都裝有保安器氣體放電管),能保護(hù)交換機(jī)使其內(nèi)部免受高壓襲擊。但從保安器輸出的電壓仍可能達(dá)到上百伏,也不允許進(jìn)入交換機(jī)內(nèi)部,故用戶電路中進(jìn)行過壓保護(hù),稱作二次保護(hù)。 常采用鉗位方法,如圖: 由熱敏電阻由熱敏電阻R和二極管組成的二次過壓保護(hù)電路。和二極管組成的

9、二次過壓保護(hù)電路。抑制電流的增加,當(dāng)外來的高壓作用時(shí)間較長時(shí),其阻值就隨著電流的增加而增加,當(dāng)電流過大時(shí)燒毀,造成斷路。橋式鉗位電路3振鈴控制R(Ringing control) 由于振鈴電壓較高90V15V),頻率為25Hz,當(dāng)鈴流高壓送往用戶線時(shí),就必須采取隔離措施,使其不能流向用戶電路的內(nèi)線,否則將引起內(nèi)線電路的損壞。用電子器件實(shí)現(xiàn)不易,故仍采用振鈴繼電器來控制鈴流。振鈴電壓:90+15v由用戶處理機(jī)的軟件控制送出截鈴信號(hào),停止振鈴4監(jiān)視S(Supervision) 通過監(jiān)視用戶直流電流來監(jiān)視用戶線回路的通/斷狀態(tài)??蓹z測出:用戶話機(jī)的摘掛機(jī)狀態(tài)號(hào)盤話機(jī)發(fā)出的撥號(hào)脈沖投幣話機(jī)的輸入信號(hào)用

10、戶通話時(shí)的話路狀態(tài)話終掛機(jī)監(jiān)視)監(jiān)視電路與饋電電路合在一起監(jiān)視電路與饋電電路合在一起由過壓保護(hù)電路的電阻引由過壓保護(hù)電路的電阻引出進(jìn)行比較出進(jìn)行比較5編譯碼和濾波C(CODEC & filters) 編譯碼器:完成A/D轉(zhuǎn)換。 目前常采用單路編譯碼器,即對每個(gè)用戶實(shí)行編譯碼,然后合并成PCM的相應(yīng)時(shí)隙串。 一般采用集成電路來完成編碼器:完成模擬信號(hào)到數(shù)字信號(hào)的轉(zhuǎn)換編碼器:完成模擬信號(hào)到數(shù)字信號(hào)的轉(zhuǎn)換Coder)。)。譯碼器:完成數(shù)字信號(hào)到模擬信號(hào)的轉(zhuǎn)換譯碼器:完成數(shù)字信號(hào)到模擬信號(hào)的轉(zhuǎn)換Decoder) 。6混合電路H(Hybird circuit) 用來完成二線和四線轉(zhuǎn)換 用戶線上傳

11、送的是模擬信號(hào),一般采用 二線雙向傳輸,而數(shù)字信號(hào)傳送是單向的,即發(fā)送時(shí)要通過編碼器,接收時(shí)要經(jīng)過譯碼器,因此需要四線傳輸。故要用混合電路來進(jìn)行二線/四線轉(zhuǎn)換。 7測試T(Test) 功能:用來及時(shí)發(fā)現(xiàn)用戶終端、用戶線路和用戶線路接口電路可能發(fā)生的混線、斷線、節(jié)地、與電力線碰接以及元器件損壞等各種故障,以便及時(shí)修復(fù)和排除。所以在用戶電路中提供了一些測試接點(diǎn)及開關(guān)。 測試開關(guān)可以是電子開關(guān)也可以是測試?yán)^電器的接點(diǎn),將用戶線接至測試設(shè)備進(jìn)行測試,由軟件控制。 此外,用戶電路還有:極性倒換、衰減控制、收費(fèi)脈沖發(fā)送、投幣話機(jī)硬幣集中控制等功能。模擬用戶電路功能框圖模擬用戶電路功能框圖舉例舉例用戶電路板

12、用戶電路板3.3 中繼器1. 模擬中繼器 數(shù)字交換機(jī)和模擬用戶局間中繼線的接口電路,用于和模擬交換機(jī)的連接,它是為數(shù)字交換機(jī)適應(yīng)模擬環(huán)境而設(shè)置的。模擬中繼器與用戶電路對比:都是和模擬線路相連,因此功能多數(shù)相同。用戶電路接用戶模塊,而模擬中繼器則直接進(jìn)入交換網(wǎng)絡(luò)。模擬中繼器比用戶電路少了振鈴控制和對用戶饋電的功能,而多了一個(gè)忙/閑指示功能,并在監(jiān)視功能中由對用戶線狀態(tài)變?yōu)閷€路信號(hào)監(jiān)視。模擬中繼電路模擬中繼電路2.數(shù)字中繼器數(shù)字中繼器:是連接數(shù)字局間中繼線的接口電路,它連數(shù)字中繼器:是連接數(shù)字局間中繼線的接口電路,它連接數(shù)字交換局或遠(yuǎn)端模塊。接數(shù)字交換局或遠(yuǎn)端模塊。數(shù)字中繼電路的基本功能數(shù)字中繼

13、電路的基本功能碼型逆變換:碼型逆變換:單極性不歸零碼單極性不歸零碼NRZ) HDB3高密度雙極性碼)高密度雙極性碼)時(shí)鐘提取和幀同步:時(shí)鐘提取和幀同步: 時(shí)鐘提?。壕褪菑妮斎氲臄?shù)據(jù)流中提取時(shí)鐘信號(hào),作為輸入時(shí)鐘提?。壕褪菑妮斎氲臄?shù)據(jù)流中提取時(shí)鐘信號(hào),作為輸入數(shù)據(jù)流的基準(zhǔn)時(shí)鐘。同時(shí)該時(shí)鐘信號(hào)還用來作為本端系統(tǒng)時(shí)鐘的數(shù)據(jù)流的基準(zhǔn)時(shí)鐘。同時(shí)該時(shí)鐘信號(hào)還用來作為本端系統(tǒng)時(shí)鐘的外部參考時(shí)鐘源。外部參考時(shí)鐘源。 幀同步:就是從接收的數(shù)據(jù)流中搜索并識(shí)別到同步碼,并以幀同步:就是從接收的數(shù)據(jù)流中搜索并識(shí)別到同步碼,并以該時(shí)隙作為一幀的開始,以便接收端的幀結(jié)構(gòu)排列和發(fā)送端的完該時(shí)隙作為一幀的開始,以便接收端的幀

14、結(jié)構(gòu)排列和發(fā)送端的完全一致。全一致。提取和插入隨路信號(hào)提取和插入隨路信號(hào)碼型變換碼型變換時(shí)鐘提取幀同步幀定位信號(hào)提取幀定位信號(hào)插入復(fù)幀定位 信號(hào)插入收發(fā)PCM數(shù)字中繼電路的基本功能數(shù)字中繼電路的基本功能3.4 音頻信號(hào)的產(chǎn)生、發(fā)送和接收n交換機(jī)需向用戶發(fā)送的各種信號(hào)音以及與其他交換機(jī)發(fā)送和接收的各種局間信令,都是音頻模擬信號(hào)。n只有用PCM調(diào)制過的音頻信號(hào)才能在數(shù)字網(wǎng)絡(luò)中通過。1、數(shù)字音頻信號(hào)的產(chǎn)生、數(shù)字音頻信號(hào)的產(chǎn)生信號(hào)種類:信號(hào)種類: 交換機(jī)到用戶:交換機(jī)到用戶: 各種信號(hào)音單頻,信號(hào)源各種信號(hào)音單頻,信號(hào)源450Hz或或 950Hz的正弦波)的正弦波) 交換機(jī)到交換機(jī):局間信號(hào)交換機(jī)到交

15、換機(jī):局間信號(hào)MFC) 前向信號(hào)頻率:前向信號(hào)頻率:1380Hz, 1500Hz, 1620Hz, 1740Hz, 1860Hz, 1980Hz6中取中取2) 后向信號(hào)頻率:后向信號(hào)頻率:1140Hz, 1020Hz, 900Hz, 780Hz, (4中取中取2) 用戶到交換機(jī):用戶到交換機(jī): 撥號(hào)信息直流脈沖、撥號(hào)信息直流脈沖、DTMF)1單頻信號(hào)的產(chǎn)生以500Hz信號(hào)產(chǎn)生為例 將信號(hào)按將信號(hào)按125s間隔進(jìn)行抽樣也就是間隔進(jìn)行抽樣也就是8kHz的的PCM抽樣頻抽樣頻率),然后進(jìn)行量化和編碼,得到各抽樣點(diǎn)的率),然后進(jìn)行量化和編碼,得到各抽樣點(diǎn)的PCM信號(hào),放到信號(hào),放到ROM中,使用時(shí)對中

16、,使用時(shí)對ROM按一般按一般PCM信號(hào)讀出,就是這個(gè)音頻信號(hào)信號(hào)讀出,就是這個(gè)音頻信號(hào)數(shù)字化的信號(hào))。數(shù)字化的信號(hào))。單音頻信號(hào)產(chǎn)生原理單音頻信號(hào)產(chǎn)生原理T=2ms 500Hz音頻信號(hào)產(chǎn)生原理 信號(hào)發(fā)生器的硬件結(jié)構(gòu)信號(hào)發(fā)生器的硬件結(jié)構(gòu)n為了節(jié)省存貯單元,廠家根據(jù)圖形的對稱性,采取分段讀取ROM內(nèi)容的辦法。讀取方法:n15幀時(shí)讀單元;n69幀時(shí)倒讀,即讀 單元;n1014幀時(shí)再讀單元,但極性置反;n按II.方法倒讀,但極性置反圖3.14 節(jié)省ROM容量的信號(hào)產(chǎn)生方法Question: 450Hz的音頻信號(hào)該抽取多少個(gè)樣值?若采用節(jié)省ROM的方式,需用多少個(gè)存儲(chǔ)單元? 分析:取450Hz、8000

17、Hz的最大公約數(shù),為50Hz,這就是重復(fù)頻率。其T=20ms。 故在20ms內(nèi),450Hz重復(fù)9次,8000Hz重復(fù)160次。故需ROM的160個(gè)單元,采用分段的方法,只需41個(gè)單元。2雙音頻信號(hào)的產(chǎn)生雙音頻信號(hào)的產(chǎn)生雙音頻信號(hào)產(chǎn)生原理:雙音頻信號(hào)產(chǎn)生原理: 首先要找到一個(gè)重復(fù)周期。首先要找到一個(gè)重復(fù)周期。 將兩個(gè)雙音頻信號(hào)按將兩個(gè)雙音頻信號(hào)按125s間隔進(jìn)行抽樣也就是間隔進(jìn)行抽樣也就是8kHz的的PCM抽樣頻率),然后進(jìn)行量化和編碼,得到各抽樣頻率),然后進(jìn)行量化和編碼,得到各抽樣點(diǎn)的抽樣點(diǎn)的PCM信號(hào),放到信號(hào),放到ROM中,使用時(shí)對中,使用時(shí)對ROM按一般按一般PCM信號(hào)讀出。信號(hào)讀出。

18、 取1380Hz、1500Hz、8000Hz的最大公約數(shù),為20Hz,這就是重復(fù)頻率。其T=50ms。 故在50ms內(nèi),1380Hz重復(fù)69次,1500Hz重復(fù)75次,8000Hz重復(fù)400次。故需ROM的400個(gè)單元,采用分段的方法,只需101個(gè)單元。 舉例:產(chǎn)生1380HZ和1500HZ信號(hào)2、數(shù)字音頻信號(hào)的發(fā)送、數(shù)字音頻信號(hào)的發(fā)送 指定時(shí)隙或占用普通話路的時(shí)隙經(jīng)交換網(wǎng)絡(luò)送出。3、數(shù)字音頻信號(hào)的接收、數(shù)字音頻信號(hào)的接收 各種信號(hào)音都是由用戶話機(jī)接收的,這種音頻信號(hào)在用戶電路中經(jīng)過譯碼變成模擬信號(hào)后自動(dòng)接收。 多頻信號(hào)則由接收器接收,一般采用數(shù)字濾波器濾波后識(shí)別而得。 多頻信號(hào)有兩種:一種是

19、由用戶電路送來的按鈕話機(jī)雙音多頻Dual Tone Multi-Frequency,DTMF信號(hào);另一種是由中繼線接口電路送來的多頻互控Multi-Frequency Controlled,MFC信號(hào)。按鍵式撥號(hào)盤:與撥號(hào)集成電路配合發(fā)出脈沖或雙音頻按鍵式撥號(hào)盤:與撥號(hào)集成電路配合發(fā)出脈沖或雙音頻(DTMF)信令。信令。697Hz770Hz852Hz941Hz1209Hz1336Hz1477Hz1633Hz高頻低頻12457*80369ABCD#F1數(shù)字濾波F2數(shù)字濾波Fn數(shù)字濾波數(shù)字邏輯識(shí)別輸入輸出圖圖3.15 數(shù)字雙音頻信號(hào)接收器數(shù)字雙音頻信號(hào)接收器3.5數(shù)字交換和數(shù)字交換網(wǎng)絡(luò)3.5.1

20、數(shù)字接線器的基本功能模擬交換機(jī),采用模擬交換網(wǎng)絡(luò),所用接線器也是模擬的,而從數(shù)字傳輸設(shè)備上送來的是數(shù)字信號(hào),要經(jīng)過A/D、D/A來回轉(zhuǎn)換,會(huì)使得信號(hào)的量化噪音增加。數(shù)字交換機(jī)中采用數(shù)字交換網(wǎng)絡(luò),能將從數(shù)字傳輸設(shè)備進(jìn)來的數(shù)字信號(hào)直接進(jìn)行交換。 “數(shù)字交換網(wǎng)絡(luò)的基本功能:當(dāng)前的數(shù)字交換機(jī)要接很多用戶,故要求它的交換網(wǎng)絡(luò)不僅能對空間線路母線進(jìn)行交換,還要能在不同時(shí)隙之間進(jìn)行交換時(shí)隙交換)。 圖3.16為數(shù)字交換網(wǎng)絡(luò)的示意圖。 3.5.2 數(shù)字交換網(wǎng)絡(luò)的基本結(jié)構(gòu)和工作原理 數(shù)字交換網(wǎng)絡(luò)進(jìn)行的是收、發(fā)分開的單向路由接續(xù)。 如圖3.17所示. 數(shù)字交換網(wǎng)絡(luò)由數(shù)字接線器組成,有兩種數(shù)字接線器: 時(shí)間T接線器

21、:完成時(shí)隙交換 空間S接線器:完成母線交換AB1. T接線器 T型時(shí)分接線器Time Switch又稱時(shí)間型接 線 器 , 簡 稱 T 接 線 器 。 它 由 話 音 存 儲(chǔ) 器Speech Memory,SM和控制存儲(chǔ)器Control Memory,CM兩部分組成,其功能是進(jìn)行時(shí)隙交換,完成同一母線不同時(shí)隙的信息交換,即把某一時(shí)分復(fù)用線中的某一時(shí)隙的信息交換至另一時(shí)隙。 話音存儲(chǔ)器SM用于暫存經(jīng)過PCM編碼的數(shù)字化話音信息,由隨機(jī)存取存儲(chǔ)器Random Access Memory,RAM構(gòu)成。 控制存儲(chǔ)器CM也由RAM構(gòu)成,用于控制話音存儲(chǔ)器信息的寫入或讀出。 話音存儲(chǔ)器存儲(chǔ)的是話音信息,控

22、制存儲(chǔ)器存儲(chǔ)的是話音存儲(chǔ)器的地址。T接線器的工作原理一、讀出控制方式 讀出控制方式的T接線器是“順序?qū)懭?,控制讀出的,如下圖,即它的話音存儲(chǔ)器SM的寫入是在定時(shí)脈沖控制下順序?qū)懭?,其讀出是受控制存儲(chǔ)器的控制讀出的。 控制存儲(chǔ)器CM的工作方式是“控制寫入,順序讀出”。 TS0TS1TS2abcTS8bacTS1TS8TS15acb01238310181531812CMSM定時(shí)脈沖A4A0RW圖圖a) 輸出控制方式的輸出控制方式的T接線器接線器 如: 用戶A占用時(shí)隙TS1的話音信息a的傳送到用戶B (占用時(shí)隙TS8) ,由中央處理機(jī)控制,向控制存儲(chǔ)器下達(dá)“寫命令,令其在8#單元中寫入“1”。用戶B

23、的回話信息b如何傳送,也要由中央處理機(jī)控制,向控制存儲(chǔ)器下達(dá)“寫命令,令其在1#單元中寫入“8”。 T接線器的工作是在中央處理機(jī)的控制下進(jìn)行。當(dāng)中央處理機(jī)得知用戶的要求撥號(hào)號(hào)碼后,首先通過用戶的忙閑表,查被叫是否空閑,若空閑,就置忙,占用這條鏈路。中央處理機(jī)CPU根據(jù)用戶要求,向控制存儲(chǔ)器發(fā)出“寫命令,將控制信息寫入控制存儲(chǔ)器(控制寫入)。 CM的讀出則是由定時(shí)脈沖的控制,按時(shí)隙號(hào)順序讀出相應(yīng)單元的內(nèi)容。如:TS0讀出0單元內(nèi)容; TS1讀出1單元內(nèi)容;(順序讀出) 話音存儲(chǔ)器中每個(gè)存儲(chǔ)單元內(nèi)存入的是發(fā)話人的話音信息編碼,通常是8位編碼。在定時(shí)脈沖控制下,按順序?qū)⒉煌瑫r(shí)隙的話音信號(hào)寫入到相應(yīng)的

24、單元,寫入的單元號(hào)和時(shí)隙號(hào)對應(yīng)(“順序?qū)懭搿保?;而讀出時(shí)則要按照控制存儲(chǔ)器中的內(nèi)容進(jìn)行(“控制讀出”) 。 如: 用戶A占用時(shí)隙TS1的話音信息a存入SM1存儲(chǔ)單元,用戶B的回話信息b (占用時(shí)隙TS8存入SM8存儲(chǔ)單元(“順序?qū)懭搿? SM讀出時(shí)受CM的控制(“控制讀出”) ,由于CM已由CPU寫好,故在TS1時(shí)將SM8單元的內(nèi)容讀出,而在TS8時(shí)將SM1#單元的內(nèi)容讀出。即將話音信息從TS1傳送到了TS8。 二、寫入控制方式 T接線器采用寫入控制方式時(shí),如圖b所示,它的話音存儲(chǔ)器SM的寫入受控制存儲(chǔ)器控制,它的讀出則是在定時(shí)脈沖的控制下順序讀出。TS0TS1TS2abcTS8bacTS1T

25、S8TS15bac01831SM018318151CMW定時(shí)脈沖A4A0R152圖圖b) 寫入控制方式的寫入控制方式的T接線器接線器 當(dāng)中央處理機(jī)Central Processing Unit,CPU得知用戶要求后,即向控制存儲(chǔ)器下“寫令,命令在控制存儲(chǔ)器的1#單元寫入“8”,在8#單元寫入“1”。2. PCM端機(jī)和T接線器的連接(1單端PCM30/32和T接線器的連接圖3.19) 圖中:碼型的變換和逆變換包括:單極性 雙極性HDB3 二進(jìn)制碼型隔位反轉(zhuǎn) 逆反轉(zhuǎn)同步電路:解決幀同步和頻率同步, 還應(yīng)有線路信號(hào)提取/插入電路。匯總電路:將話音信息、同步信息和標(biāo)志信息匯總在一起,然后通過碼型交換電

26、路送至輸出端。還應(yīng)有串/并、并/串轉(zhuǎn)換電路。(2多端PCM30/32和T接線器的連接 在實(shí)際中 ,一個(gè)T接線器往往要接多個(gè)PCM使用,以增加連接的用戶,多以8端或16端PCM交換來構(gòu)成一個(gè)交換單元,每一條PCM線稱HWHighway)。 由于每一條的碼率是2.048Mbit/s,若8端PCM脈碼輸入以串行傳輸時(shí),其傳輸速率將達(dá)到16.384Mbit/s,若16端輸入時(shí),其傳輸速率將達(dá)到32.768Mbit/s,這樣高的傳輸速率會(huì)帶來許多問題,故應(yīng)考慮降低速率的問題。 如果輸入端接8條HW,T接線器的話音存儲(chǔ)器就應(yīng)有256個(gè)存儲(chǔ)單元,若接16條HW,則SM應(yīng)有512個(gè)存儲(chǔ)單元,則應(yīng)考慮這些條HW

27、中的時(shí)隙序列在進(jìn)入T接線器時(shí)應(yīng)該如何排列的問題。 n串/并變換: 減低數(shù)據(jù)傳輸速率,盡可能利用半導(dǎo)體器件的高速特性,使在每條數(shù)字通道中能夠傳送更多的信息,提高數(shù)字通道的利用率。n 串行碼是指各時(shí)隙內(nèi)的8位碼D0D7是按時(shí)間的順序依次排列。并行碼是指各時(shí)隙內(nèi)的8位碼D0,D1,D7分別同時(shí)出現(xiàn)在8條線上。n 串/并變換電路輸入端為一條線,線上傳輸?shù)氖?2個(gè)時(shí)隙的串行碼,輸入速率為2Mb/s,經(jīng)過串/并變換后,在輸出端為8條并行數(shù)據(jù)線,每條速率為256Kb/s。2 . 多端脈碼的排列組合) 以8端脈碼輸入為例,8端脈碼共有256(32X8256)個(gè)時(shí)隙。這256個(gè)時(shí)隙的排列方式應(yīng)是HWo的TS0,

28、HWl的TS0,HW2的TS0,HW7的TS0,HW0的TS1,HW1的TSI,HW2的TS1,HW7的TS1,等等。HW0TS0作為總時(shí)隙的tS0,HWlTS0為tS1,HW7TS0為tS7,HW0TS1為tS8, ,HW7TS31為tS255。256個(gè)時(shí)隙分別為ts0tS255。 各端脈碼的時(shí)隙號(hào)與總時(shí)隙號(hào)的對應(yīng)關(guān)系為:總時(shí)隙的8位二進(jìn)制數(shù)碼的前3位A0A1A2表示8個(gè)HW的號(hào),如HW0,即0#HW,用000表示。總時(shí)隙的8位二進(jìn)制數(shù)碼的后5位A3A4A5A6A7,表示各端HW中的32個(gè)時(shí)隙號(hào),例如TSl為10000,TS3為11000。各端脈碼的端號(hào)、時(shí)隙號(hào)及總時(shí)隙號(hào)的對應(yīng)關(guān)系表示如下:

29、3. S型時(shí)分接線器 S型時(shí)分接線器是空間型接線器(space switch),其功能是完成“空間交換”。即在一根入線中,可以選擇任何一根出線與之連通。1) S型接線器的基本組成 S型接線器由mn交叉點(diǎn)矩陣和控制存儲(chǔ)器組成。在每條入線i和出線j之間都有一個(gè)交叉點(diǎn)Kij,當(dāng)某個(gè)交叉點(diǎn)在控制存儲(chǔ)器控制下接通時(shí),相應(yīng)的入線即可與相應(yīng)的出線相連,但必須建立在一定時(shí)隙的基礎(chǔ)上。2) S型接線器的工作原理 根據(jù)控制存儲(chǔ)器是控制輸出線上交叉接點(diǎn)閉合還是控制輸入線上交叉接點(diǎn)的閉合,可分為輸出控制方式和輸入控制方式兩種。一、輸出控制方式 下圖所示為88 S型時(shí)分接線器的組成方框圖。N個(gè)存儲(chǔ)單元每條HW上有N個(gè)時(shí)

30、隙n個(gè)CM,每個(gè)CM控制一條輸出線 輸出控制方式的S型時(shí)分接線器,每條輸出線上都配有一個(gè)控制存儲(chǔ)器,控制該輸出線與輸入線的所有交叉接點(diǎn)。每個(gè)控制存儲(chǔ)器與以前的一樣為“控制寫入、順序讀出”。 S接線器每一個(gè)交叉點(diǎn)只接通一個(gè)時(shí)隙,下個(gè)時(shí)隙要由其他交叉點(diǎn)接通,因而“空間接線器是時(shí)分工作的”。二、輸入控制方式 輸入控制方式的S型時(shí)分接線器,每條輸入線上都配有一個(gè)控制存儲(chǔ)器,控制該輸入線與輸出線的所有交叉接點(diǎn)。3.5.3 串串/并、并并、并/串變換電路的組成和工作原理串變換電路的組成和工作原理 下圖是8端脈碼輸入的T接線器方框圖,在話音存儲(chǔ)器中有256個(gè)存儲(chǔ)單元,寫入受到定時(shí)脈沖A0A7的控制,讀出是由

31、CM控制,CM也有256個(gè)單元,控制256個(gè)輸出時(shí)隙。由于存儲(chǔ)單元是256個(gè),故有256個(gè)地址,因此需要8個(gè)定時(shí)脈沖A0A7控制。 A0A7以不同組合方式排列組成按時(shí)序排列的256個(gè)控制脈沖,控制相應(yīng)單元的寫入或讀出,故它又是單元的地址碼。 1) 時(shí)鐘、定時(shí)脈沖和位脈沖時(shí)鐘、定時(shí)脈沖和位脈沖 CP脈沖和間隔寬度各為244ns,和32路PCM每時(shí)隙的一位脈沖寬度相同488ns)。而定時(shí)脈沖A0A7的不同組合就各占488ns。而位脈沖TD0TD7寬度為488ns,然后間隔7個(gè)脈沖寬度,因此它標(biāo)志了每一時(shí)隙中的某一位。2串并變換電路串并變換電路移位寄存器移位寄存器HW0HW7CP鎖存器鎖存器D0D7

32、D0D7D0D7D7D0D0D78-1(D0)8-1(D7)HW0HW0HW7HW7(8)(8)(8)CPCPTD7串并轉(zhuǎn)換電路的功能框圖示如圖3.24 移位寄存器:在CP控制下將每個(gè)時(shí)隙中的8位串行碼變成位并行碼。鎖存器:由于在移位寄存器輸出端的數(shù)據(jù)不是同時(shí)出現(xiàn)的,而是在CP控制下一位一位出現(xiàn)的。因此需用鎖存器在時(shí)隙的最后一位(D7)的CP后半周期( CP )時(shí)才把已變換的位并行碼送入鎖存器。8-1選擇器:在CP控制下把個(gè)HW的位并行碼按一定次序進(jìn)行排列、合并。3并串變換電路并串變換電路鎖存器:在位脈沖TD0CPTD7CP控制下,將條HW的各位碼D0D7分別寫入鎖存器中。即HW0的D0D7寫

33、入鎖存器, HW1的D0D7寫入鎖存器1移位寄存器:由CP和S(TD0CP)控制線控制。CP=1,S=1: 只置數(shù)不移位CP=1,S=0: 只移位不置數(shù). 按CP的節(jié)拍一位一位往外送出。3.5.4T接線器的組成和工作原理話音存儲(chǔ)器以輸出控制方式為例,如圖3.27所示。寫入:定時(shí)脈沖控制順序?qū)懭?;讀出:由控制存儲(chǔ)器的讀出數(shù)據(jù)B0B7控制。n工作原理:n寫入:CP處于前半時(shí)期時(shí),CM不送數(shù)據(jù),即B0B7=0,使R/W=0,SM進(jìn)入寫入狀態(tài),使與或門的上側(cè)“與門打開,定時(shí)脈沖A0A7通過與或門輸入到SM作為寫入的單元地址,而打開該單元寫入此刻送來的話音信息DI0DI7。n讀出:CP處于后半時(shí)期時(shí),C

34、M送來讀出地址數(shù)據(jù),即B0B70,使R/W=,SM進(jìn)入讀出狀態(tài),與或門的下側(cè)“與門打開,使B0B7通過與或門輸入到SM作為讀出的單元地址,而打開該單元讀出話音信息DO0DO7。n總結(jié):由于B0B7是在CP的后半周期送來的,故作為讀出地址,而A0A7是在CP的前半周期送來的,故作為寫入地址。因此SM的寫入和讀出很自然就分開了,互不干擾。2控制存儲(chǔ)器工作原理:CPU選定路由后,便通過DB送來數(shù)據(jù)BW0BW7,放入鎖存器暫存;通過AB送來寫入地址AW0AW7,放入鎖存器暫存,同時(shí)發(fā)出“寫命令”。當(dāng)定時(shí)脈沖A0A7送來的信號(hào)組合與AW0AW7完全一致時(shí),比較器;并當(dāng)CP=1時(shí),R/W,使CM處于寫狀態(tài)

35、,可將BW0BW7寫入CM。 當(dāng)CP=時(shí),R/W=1,CM處于讀狀態(tài),于是可按照定時(shí)脈沖A0A7 所指定地址,逐個(gè)單元地讀出內(nèi)容,即將信息從B0B7送入SM。注意:不論是輸入控制方式還是輸出控制方式,其CM的硬件電路都一樣。所區(qū)別只是CPU送來的地址和數(shù)據(jù)。3.5.5 S接線器的組成和工作原理接線器的組成和工作原理交叉點(diǎn)矩陣接線器的交叉接點(diǎn)必須用電子接點(diǎn)(即選擇器)來完成。 矩陣可以采用8片8選1的選擇器芯片組成,結(jié)構(gòu)如圖3.29。S型時(shí)分接線器的CM和T型時(shí)分接線器的CM結(jié)構(gòu)基本相同。只是由于只控制個(gè)輸入端,故數(shù)據(jù)線只有條B0B2),另外還多了一條選通線,用來選擇該輸出線的CM,使得CM的字

36、長為位。2控制存儲(chǔ)器3.5.6 數(shù)字交換網(wǎng)絡(luò)數(shù)字交換網(wǎng)絡(luò)在大型程控交換機(jī)中,組成一個(gè)容量大的交換網(wǎng)絡(luò),必須要把T接線器和S接線器組合起來,構(gòu)成多級(jí)的交換網(wǎng)絡(luò)。. 三級(jí)交換網(wǎng)絡(luò)(T-S-T網(wǎng)絡(luò)如圖3.31所示,假設(shè)有條母線HW1HW3),每條母線上有32個(gè)時(shí)隙。各級(jí)功能如下:A級(jí)T接線器用于輸入母線的時(shí)隙交換(個(gè)A級(jí)T接線器組成,假設(shè)為輸出控制方式)S接線器用于母線之間的空間交換33矩陣,有個(gè)CM, 假設(shè)為輸入控制方式)B級(jí)T接線器用于輸出母線的時(shí)隙交換(個(gè)B級(jí)T接線器組成,假設(shè)為輸入控制方式)工作原理:工作原理:假設(shè)有一對用戶假設(shè)有一對用戶HW1TS2(A) HW3TS31(B)互相通話?;ハ?/p>

37、通話。首先來看一下首先來看一下A B方向路由的接續(xù):方向路由的接續(xù):CPU在存儲(chǔ)器中找到一條空閑路由在存儲(chǔ)器中找到一條空閑路由(即交換網(wǎng)絡(luò)中的一個(gè)即交換網(wǎng)絡(luò)中的一個(gè)空閑內(nèi)部時(shí)隙空閑內(nèi)部時(shí)隙),假設(shè)為,假設(shè)為TS7。CPU向向HW1的的CMA 7#單元送單元送“;HW3的的CMB的的7#單元送單元送31“;號(hào);號(hào)CMC的的7#單元送單元送“。SMA按順序?qū)懭?,在按順序?qū)懭?,在TS2時(shí)將時(shí)將A的話音信號(hào)寫入到的話音信號(hào)寫入到HW1的的SMA 2#單元,在單元,在TS7時(shí)隙時(shí),時(shí)隙時(shí),CMA的的7#單元單元“順序順序讀出,即作為讀出,即作為SMA的讀出地址,將原來在的讀出地址,將原來在TS2的的A話

38、音信話音信號(hào)轉(zhuǎn)換到了號(hào)轉(zhuǎn)換到了TS7。1號(hào)號(hào)CMC 的的7#單元里的內(nèi)容是單元里的內(nèi)容是“”,即在,即在TS7時(shí)隙將時(shí)隙將1#輸入線輸入線(HW1)和和3# 輸出線輸出線(HW3)接通,這樣就將接通,這樣就將A話音信話音信號(hào)送到了號(hào)送到了B級(jí)級(jí)T接線器。接線器。3# 線的線的SMB在在CMB控制下將控制下將TS7中的話音信號(hào)寫入到中的話音信號(hào)寫入到31 #單元單元(輸入控制輸入控制),于是在,于是在SMB順序讀出時(shí),即順序讀出時(shí),即TS31時(shí)將時(shí)將A話音信號(hào)讀出并送給話音信號(hào)讀出并送給B用戶。用戶。AB考慮:考慮: B A方向路由的接續(xù):方向路由的接續(xù):CPU在存儲(chǔ)器中找到一條空閑路由在存儲(chǔ)器

39、中找到一條空閑路由(即交換網(wǎng)絡(luò)中的一個(gè)即交換網(wǎng)絡(luò)中的一個(gè)空閑內(nèi)部時(shí)隙空閑內(nèi)部時(shí)隙),假設(shè)為,假設(shè)為TS23。CPU向向HW3的的CMA 23#單元送單元送“31“;HW1的的CMB的的23#單元送單元送2“; 3號(hào)號(hào)CMC的的23#單元送單元送1“。SMA按順序?qū)懭?,在按順序?qū)懭耄赥S31時(shí)將時(shí)將B的話音信號(hào)寫入到的話音信號(hào)寫入到HW3的的SMA 31#單元,在單元,在TS23時(shí)隙時(shí),時(shí)隙時(shí),CMA的的23#單元單元“31順序讀出,即作為順序讀出,即作為SMA的讀出地址,將原來在的讀出地址,將原來在TS31的的B話音信號(hào)轉(zhuǎn)換到了話音信號(hào)轉(zhuǎn)換到了TS23。3號(hào)號(hào)CMC 的的23#單元里的內(nèi)容是

40、單元里的內(nèi)容是“1”,即在,即在TS23時(shí)隙將時(shí)隙將3#輸入線輸入線(HW3)和和1# 輸出線輸出線(HW1)接通,這樣就將接通,這樣就將B話音話音信號(hào)送到了信號(hào)送到了B級(jí)級(jí)T接線器。接線器。1# 線的線的SMB在在CMB控制下將控制下將TS23中的話音信號(hào)寫入到中的話音信號(hào)寫入到2 #單元單元(輸入控制輸入控制),于是在,于是在SMB順序讀出時(shí),即順序讀出時(shí),即TS2時(shí)將時(shí)將B話音信號(hào)讀出并送給話音信號(hào)讀出并送給A用戶。用戶。注意:“內(nèi)部時(shí)隙是在S接線器上使用的,它既不是主叫用戶時(shí)隙,又不是被叫用戶時(shí)隙,而是由CPU就近任選的一個(gè)空閑時(shí)隙為了方便和簡化控制,一發(fā)一收的兩個(gè)空閑時(shí)隙可按某種固定

41、關(guān)系選擇:奇偶關(guān)系若主叫用戶選用偶數(shù)時(shí)隙,則被叫用戶應(yīng)選擇奇數(shù)時(shí)隙,兩者相差一個(gè)時(shí)隙例如:主叫TS2;被叫TS3相差半幀的關(guān)系-反相法若主叫用戶選用TSi,則被叫用戶應(yīng)選擇TS(i+F/2) , F:一幀的時(shí)隙數(shù)例如:主叫TS7;被叫TS(7+32/2),即TS23在話終拆線時(shí),CPU只要將CM相應(yīng)單元清除即可(S-T-S網(wǎng)絡(luò)如圖3.32所示,假設(shè)有條母線HW1HW3),每條母線上有32個(gè)時(shí)隙。各級(jí)功能如下:A級(jí)S接線器,假設(shè)為輸出控制方式T接線器個(gè),假設(shè)為輸出控制方式B級(jí)S接線器,假設(shè)為輸入控制方式A級(jí)和B級(jí)S接線器共用CM工作原理:工作原理:假設(shè)有一對用戶假設(shè)有一對用戶HW1TS2(A)

42、HW3TS31(B)互相通話?;ハ嗤ㄔ?。信號(hào)傳送的過程如下:信號(hào)傳送的過程如下:CPU要選擇一條空閑的路由,即空閑的要選擇一條空閑的路由,即空閑的T接線器。假設(shè)選接線器。假設(shè)選定為定為3#。CPU向向CMT3的的 2#單元送單元送“31“、31#單元送單元送2“,同時(shí),同時(shí)也必須向也必須向CMS3的的 2#單元送單元送“1“、31#單元送單元送3“ ;在在TS2時(shí),在時(shí),在CMS3的控制下,使得:的控制下,使得:SA: #出線和出線和1#入線接通入線接通SB: #入線和入線和#出線接通出線接通將將A的話音信號(hào)寫入到與的話音信號(hào)寫入到與#出線相連的出線相連的T接線器的接線器的#單元單元在在TS3

43、1時(shí),在時(shí),在CMS3的控制下,使得:的控制下,使得:SA: #出線和出線和3#入線接通入線接通SB: #入線和入線和3#出線接通出線接通將將B的話音信號(hào)寫入到與的話音信號(hào)寫入到與#T接線器的接線器的31#單元單元 同時(shí),在同時(shí),在CMT3的控制下,讀出的控制下,讀出SM3的的#單元的內(nèi)容,單元的內(nèi)容,即將信號(hào)即將信號(hào)A取出送給取出送給B在下一個(gè)在下一個(gè)TS2時(shí),重復(fù)循環(huán)時(shí),重復(fù)循環(huán).AB2. 關(guān)于T-S-T網(wǎng)絡(luò)幾個(gè)問題的討論(1)控制方式 T-S-T網(wǎng)絡(luò)的另外一種結(jié)構(gòu):A級(jí)采用輸入控制方式; B級(jí)采用輸出控制方式,如圖:(網(wǎng)絡(luò)阻塞在一般情況下, T-S-T網(wǎng)絡(luò)存在內(nèi)部阻塞。但一般這種結(jié)構(gòu)的阻

44、塞率很小,大概是10-6數(shù)量級(jí),可以近似為無阻塞網(wǎng)絡(luò)。除了以上所示的三級(jí)網(wǎng)絡(luò)外,還存在多種網(wǎng)絡(luò)結(jié)構(gòu),如T-S-S-T結(jié)構(gòu)的四級(jí)網(wǎng)絡(luò)、 T-S-S-S-T網(wǎng)絡(luò)、 S-S-T-S-S網(wǎng)絡(luò)以及具有T-S-S-S-S-T網(wǎng)絡(luò)等。3.5. 數(shù)字交換機(jī)中話路的連接數(shù)字交換機(jī)中話路的連接 組成:選組級(jí):T-S-T 交換網(wǎng)絡(luò)用戶模塊:用戶電路用戶集線器復(fù)用器M和分路器D) 前向通路和后向通路:即發(fā)送通路F和接收通路B 用戶模塊:其結(jié)構(gòu)如圖3.5所示輸入端:條母線(832=256),除TS0和TS16用于同步和通信信號(hào)傳送外,其余都是用于話音信號(hào),故可接240個(gè)用戶。輸出端:條母線(HW)用2.048Mb/s的速率連至選組級(jí)的交換網(wǎng)絡(luò)。用戶級(jí)的交換網(wǎng)絡(luò):分為前向和后向兩種,各由一級(jí)T接線器組成。復(fù)接:指可用多個(gè)用戶組N個(gè)240用戶組復(fù)接在一起,合成240條話路(8條母線),以實(shí)現(xiàn)話務(wù)量的集中。 選組級(jí):兩端分別通過復(fù)用器和分路器接至外線圖中共有32個(gè)T接線器和復(fù)用器每一個(gè)復(fù)用器接16條母線,共512

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論