第2章_18086處理器結(jié)構(gòu)_第1頁
第2章_18086處理器結(jié)構(gòu)_第2頁
第2章_18086處理器結(jié)構(gòu)_第3頁
第2章_18086處理器結(jié)構(gòu)_第4頁
第2章_18086處理器結(jié)構(gòu)_第5頁
已閱讀5頁,還剩38頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、第二章第二章 微處理器系統(tǒng)結(jié)構(gòu)微處理器系統(tǒng)結(jié)構(gòu)2.1 微處理器基本功能和結(jié)構(gòu)微處理器基本功能和結(jié)構(gòu)2.2 微處理器主要性能指標(biāo)微處理器主要性能指標(biāo)2.3 INTEL8086/8088微處理器微處理器2.4 8086/8088微處理器基本時(shí)序微處理器基本時(shí)序2.5 INTEL80X86微處理器微處理器2.6 80X86 32位編程結(jié)構(gòu)位編程結(jié)構(gòu)*12從應(yīng)用角度(不是從內(nèi)部工作原理)展開從應(yīng)用角度(不是從內(nèi)部工作原理)展開典型典型8位微處理器的基本結(jié)構(gòu)位微處理器的基本結(jié)構(gòu)8088/8086的功能結(jié)構(gòu)的功能結(jié)構(gòu)8088/8086的寄存器結(jié)構(gòu)的寄存器結(jié)構(gòu)8088/8086的存儲(chǔ)器結(jié)構(gòu)的存儲(chǔ)器結(jié)構(gòu)為學(xué)習(xí)

2、指令系統(tǒng)打好基礎(chǔ)為學(xué)習(xí)指令系統(tǒng)打好基礎(chǔ)例如:例如:關(guān)心用戶關(guān)心用戶“可編程可編程”寄存器,寄存器,不關(guān)心無法操縱的不關(guān)心無法操縱的“透明透明”寄存器寄存器2.1 微處理器基本功能和結(jié)構(gòu)微處理器基本功能和結(jié)構(gòu)3內(nèi)部數(shù)據(jù)總線內(nèi)部數(shù)據(jù)總線控制總線控制總線數(shù)據(jù)總線數(shù)據(jù)總線地址總線地址總線暫存器暫存器累加器累加器ALU標(biāo)志寄存器標(biāo)志寄存器指指令令寄寄存存指指令令譯譯碼碼時(shí)序時(shí)序和和控制控制邏輯邏輯通通 用用寄存器組寄存器組地地 址址寄存器組寄存器組地址地址總線總線控制控制數(shù)據(jù)數(shù)據(jù)總線總線控制控制2.1.1 微處理器的基本結(jié)構(gòu)微處理器的基本結(jié)構(gòu)1.算術(shù)邏輯單元(運(yùn)算器)算術(shù)邏輯單元(運(yùn)算器)2.寄存器組寄

3、存器組3.指令處理單元(控制器)指令處理單元(控制器) 微處理器是微型計(jì)算機(jī)的核心部件,也稱為中微處理器是微型計(jì)算機(jī)的核心部件,也稱為中央處理單元,簡(jiǎn)稱央處理單元,簡(jiǎn)稱CPU(Central Processing Unit)。)。它負(fù)責(zé)微型計(jì)算機(jī)中各部件的協(xié)調(diào),完成指令的執(zhí)它負(fù)責(zé)微型計(jì)算機(jī)中各部件的協(xié)調(diào),完成指令的執(zhí)行和數(shù)據(jù)處理工作。其行和數(shù)據(jù)處理工作。其主要功能主要功能包括:包括:指令控制指令控制:指令執(zhí)行順序:指令執(zhí)行順序操作控制操作控制:各部件功能協(xié)調(diào):各部件功能協(xié)調(diào)時(shí)序控制時(shí)序控制:各信號(hào)時(shí)序:各信號(hào)時(shí)序數(shù)據(jù)加工數(shù)據(jù)加工:算術(shù):算術(shù)/邏輯運(yùn)算邏輯運(yùn)算4微處理器基本結(jié)構(gòu)微處理器基本結(jié)構(gòu)

4、微處理器基本結(jié)構(gòu)包括控制器、運(yùn)算器、寄存器微處理器基本結(jié)構(gòu)包括控制器、運(yùn)算器、寄存器組等部件。組等部件。運(yùn)算器運(yùn)算器ALU(Arithmetic Logic Unit):計(jì)算機(jī)的核心功能:計(jì)算機(jī)的核心功能部件,主要負(fù)責(zé)算術(shù)、邏輯運(yùn)算等數(shù)據(jù)加工功能。部件,主要負(fù)責(zé)算術(shù)、邏輯運(yùn)算等數(shù)據(jù)加工功能??刂破骺刂破鰿U(Control Unit):計(jì)算機(jī)的指揮控制中心,負(fù)責(zé):計(jì)算機(jī)的指揮控制中心,負(fù)責(zé)按照一定順序自動(dòng)讀取程序中的指令,將指令譯碼后產(chǎn)生相按照一定順序自動(dòng)讀取程序中的指令,將指令譯碼后產(chǎn)生相應(yīng)控制信號(hào),控制各部件協(xié)同工作。應(yīng)控制信號(hào),控制各部件協(xié)同工作。寄存器組寄存器組RS(Register

5、Set):是:是CPU中暫存數(shù)據(jù)和指令的中暫存數(shù)據(jù)和指令的邏輯部件,用于臨時(shí)存放數(shù)據(jù)或地址。邏輯部件,用于臨時(shí)存放數(shù)據(jù)或地址。除此以外,微處理器常常還包括一定的高速緩存部件。除此以外,微處理器常常還包括一定的高速緩存部件。52.3 INTEL8086/8088微處理器微處理器2.3.1 Intel 8086/8088 CPU的基本特點(diǎn)的基本特點(diǎn)基本性能:基本性能:工作頻率:工作頻率:510MHz字長(zhǎng):字長(zhǎng):16位位地址總線寬度:地址總線寬度:20位位數(shù)據(jù)總線寬度:數(shù)據(jù)總線寬度:16位(位(8086),),8位(位(8088)生產(chǎn)工藝:生產(chǎn)工藝:3m,2.9萬個(gè)晶體管萬個(gè)晶體管工作電壓:工作電壓

6、:5V封裝:封裝:40腳,雙列直插式腳,雙列直插式(DIP)6l 將取指令部件與執(zhí)行指令部件將取指令部件與執(zhí)行指令部件分開分開,使它們可以并行工,使它們可以并行工作,從而實(shí)現(xiàn)并行流水線,提高系統(tǒng)運(yùn)行速度;作,從而實(shí)現(xiàn)并行流水線,提高系統(tǒng)運(yùn)行速度;l 對(duì)內(nèi)存空間對(duì)內(nèi)存空間分段管理分段管理,利用,利用16位段基址和位段基址和16位段內(nèi)偏移位段內(nèi)偏移地址實(shí)現(xiàn)對(duì)地址實(shí)現(xiàn)對(duì)1MB空間的尋址;空間的尋址;l 設(shè)有設(shè)有兩種兩種工作模式,分別支持單處理器工作和多處理器工作模式,分別支持單處理器工作和多處理器工作;工作;l 基本指令執(zhí)行時(shí)間為基本指令執(zhí)行時(shí)間為0.3s0.6s。主要特點(diǎn):主要特點(diǎn):72.3.2

7、8086/8088微處理器組成結(jié)構(gòu)微處理器組成結(jié)構(gòu)由兩個(gè)功能部件構(gòu)成:由兩個(gè)功能部件構(gòu)成:n執(zhí)行部件執(zhí)行部件EU(Execution Unit ),主要實(shí)現(xiàn)指),主要實(shí)現(xiàn)指令和數(shù)據(jù)處理功能令和數(shù)據(jù)處理功能n總線接口部件總線接口部件BIU(Bus Interface Unit ),主要),主要實(shí)現(xiàn)與外界交換數(shù)據(jù)的功能實(shí)現(xiàn)與外界交換數(shù)據(jù)的功能8執(zhí)行部件執(zhí)行部件EU、總線接口部件、總線接口部件BIU。AH ALBH BLCH CLDH DLSPBPSIDICSDSSSESIP1 24653標(biāo)志寄存器標(biāo)志寄存器總線總線控制控制邏輯邏輯指令隊(duì)列指令隊(duì)列EU控控制制ALU地址加法器地址加法器BIU單元單元

8、EU單元單元AXBXCXDX內(nèi)存內(nèi)存接口接口9n算術(shù)邏輯單元(算術(shù)邏輯單元(ALU):用于算術(shù)、邏輯運(yùn)算功能。):用于算術(shù)、邏輯運(yùn)算功能。n標(biāo)志寄存器標(biāo)志寄存器FLAG:用于存放一個(gè):用于存放一個(gè)CPU的狀態(tài)或控制標(biāo)志。的狀態(tài)或控制標(biāo)志。反映反映CPU最近一次運(yùn)算結(jié)果的一些狀況。最近一次運(yùn)算結(jié)果的一些狀況。n數(shù)據(jù)暫存寄存器:協(xié)助數(shù)據(jù)暫存寄存器:協(xié)助ALU完成運(yùn)算,暫存參加運(yùn)算的數(shù)據(jù),完成運(yùn)算,暫存參加運(yùn)算的數(shù)據(jù),如從內(nèi)存讀入的數(shù)據(jù)。如從內(nèi)存讀入的數(shù)據(jù)。n通用寄存器:用于存放參與運(yùn)算的數(shù)據(jù)或數(shù)據(jù)在內(nèi)存中的偏通用寄存器:用于存放參與運(yùn)算的數(shù)據(jù)或數(shù)據(jù)在內(nèi)存中的偏移地址。移地址。nEU控制電路:負(fù)責(zé)接

9、收從控制電路:負(fù)責(zé)接收從BIU指令隊(duì)列中取來的指令,經(jīng)指令隊(duì)列中取來的指令,經(jīng)指令譯碼后形成定時(shí)控制信號(hào),對(duì)指令譯碼后形成定時(shí)控制信號(hào),對(duì)EU各部件實(shí)現(xiàn)特定的控各部件實(shí)現(xiàn)特定的控制操作。制操作。 EU中各部件功能如下:中各部件功能如下:10n指令隊(duì)列緩沖器:存放最多指令隊(duì)列緩沖器:存放最多6字節(jié)的指令,按字節(jié)的指令,按“先進(jìn)先出先進(jìn)先出”原則進(jìn)行存取操作。原則進(jìn)行存取操作。n地址加法器:完成地址加法器:完成20位物理地址計(jì)算。位物理地址計(jì)算。n段地址寄存器:用于存放段的基地址值。段地址寄存器:用于存放段的基地址值。n指令指針寄存器指令指針寄存器IP:指令指針寄存器用于存放:指令指針寄存器用于存

10、放BIU要取出要取出的下一條指令的偏移地址。的下一條指令的偏移地址。n總線控制電路與內(nèi)部通信寄存器:總線控制電路用于產(chǎn)生總線控制電路與內(nèi)部通信寄存器:總線控制電路用于產(chǎn)生外部總線操作時(shí)的相關(guān)控制信號(hào);內(nèi)部通信寄存器用于暫外部總線操作時(shí)的相關(guān)控制信號(hào);內(nèi)部通信寄存器用于暫存總線接口單元存總線接口單元BIU與執(zhí)行單元與執(zhí)行單元EU之間交換的信息。之間交換的信息。BIU中各部件的功能如下:中各部件的功能如下:11EU與與BIU并行執(zhí)行的優(yōu)勢(shì)并行執(zhí)行的優(yōu)勢(shì) 假設(shè)計(jì)算機(jī)處理數(shù)據(jù)的過程簡(jiǎn)化為假設(shè)計(jì)算機(jī)處理數(shù)據(jù)的過程簡(jiǎn)化為取指取指和和執(zhí)行執(zhí)行兩個(gè)步驟組成,如果微處理器只有一個(gè)功能部件,兩個(gè)步驟組成,如果微處

11、理器只有一個(gè)功能部件,則完成一系列指令的過程可描述如下:則完成一系列指令的過程可描述如下:CPU取指令取指令1執(zhí)行執(zhí)行1取指令取指令2執(zhí)行執(zhí)行2取指令取指令3執(zhí)行執(zhí)行3系統(tǒng)系統(tǒng)總線總線忙忙空閑空閑忙忙空閑空閑忙忙空閑空閑12 如果將微處理器的功能分為如果將微處理器的功能分為EU和和BIU兩個(gè)部件,分別完成兩個(gè)部件,分別完成取指令和執(zhí)行指令的操作,雖然單個(gè)指令仍然需要取指令再執(zhí)取指令和執(zhí)行指令的操作,雖然單個(gè)指令仍然需要取指令再執(zhí)行,但從而指令流角度看,取指令和執(zhí)行指令可以同時(shí)進(jìn)行行,但從而指令流角度看,取指令和執(zhí)行指令可以同時(shí)進(jìn)行 :BIU取指取指1取指取指2取指取指3取指取指4取指取指5取指

12、取指6EU執(zhí)行執(zhí)行1執(zhí)行執(zhí)行2執(zhí)行執(zhí)行3執(zhí)行執(zhí)行4執(zhí)行執(zhí)行5系統(tǒng)系統(tǒng)總線總線忙忙忙忙忙忙忙忙忙忙忙忙 很顯然,采用兩個(gè)功能部件獨(dú)立運(yùn)行時(shí),效率很顯然,采用兩個(gè)功能部件獨(dú)立運(yùn)行時(shí),效率比單個(gè)部件提高了近一倍!比單個(gè)部件提高了近一倍!132.3.3 8086/8088微處理器的寄存器結(jié)構(gòu)微處理器的寄存器結(jié)構(gòu)1. 8個(gè)個(gè)通用寄存器通用寄存器 8086微處理器中有微處理器中有8個(gè)通用寄存器,每個(gè)寄存器長(zhǎng)度為個(gè)通用寄存器,每個(gè)寄存器長(zhǎng)度為16位,用于存放數(shù)據(jù)或地址,位,用于存放數(shù)據(jù)或地址,8個(gè)通用寄存器分別是:個(gè)通用寄存器分別是: 累加器累加器AX(AHAL)Accumulator 基址寄存器基址寄存器

13、BX(BHBL)Base 計(jì)數(shù)寄存器計(jì)數(shù)寄存器CX(CHCL)Counter 數(shù)據(jù)寄存器數(shù)據(jù)寄存器DX(DHDL)Data 堆棧指針寄存器堆棧指針寄存器SPStack Pointer 基址指針寄存器基址指針寄存器BPBase Pointer 源變址寄存器源變址寄存器SISource Index 目的變址寄存器目的變址寄存器DIDestination Index14152. 存儲(chǔ)器的分段管理存儲(chǔ)器的分段管理8088CPU8088CPU有有2020條地址線條地址線最大可尋址空間為最大可尋址空間為2 220201MB1MB物理地址范圍從物理地址范圍從00000H00000HFFFFFHFFFFFH8

14、 0 8 8 C P U8 0 8 8 C P U 將將 1 M B1 M B 空 間 分 成 許 多空 間 分 成 許 多 邏 輯 段邏 輯 段(SegmentSegment)每個(gè)段最大限制為每個(gè)段最大限制為64KB64KB段地址的低段地址的低4 4位為位為0000B0000B這樣,一個(gè)存儲(chǔ)單元除具有一個(gè)唯一的物這樣,一個(gè)存儲(chǔ)單元除具有一個(gè)唯一的物理地址外,還具有多個(gè)邏輯地址理地址外,還具有多個(gè)邏輯地址16物理地址和邏輯地址物理地址和邏輯地址8088CPU存儲(chǔ)系統(tǒng)中,對(duì)應(yīng)每個(gè)物理存存儲(chǔ)系統(tǒng)中,對(duì)應(yīng)每個(gè)物理存儲(chǔ)單元都有一個(gè)唯一的儲(chǔ)單元都有一個(gè)唯一的20位編號(hào),就是物位編號(hào),就是物理地址,從理地

15、址,從00000H FFFFFH分段后在用戶編程時(shí),采用邏輯地址,分段后在用戶編程時(shí),采用邏輯地址,形式為形式為段基地址段基地址 : : 段內(nèi)偏移地址段內(nèi)偏移地址分隔符分隔符物理地址物理地址 14700H邏輯地址邏輯地址 1460H:100H17邏輯地址邏輯地址段地址段地址說明邏輯段在主存中的起始位置說明邏輯段在主存中的起始位置8088規(guī)定段地址必須是模規(guī)定段地址必須是模16地址:地址:xxxx0H省略低省略低4位位0000B,段地址就可以用,段地址就可以用16位數(shù)位數(shù)據(jù)表示,就能用據(jù)表示,就能用16位位段寄存器段寄存器表達(dá)段地址表達(dá)段地址偏移地址偏移地址說明主存單元距離段起始位置的說明主存單

16、元距離段起始位置的偏移量偏移量每段不超過每段不超過64KB,偏移地址也可用,偏移地址也可用16位位數(shù)數(shù)據(jù)表示據(jù)表示 8086同時(shí)可有同時(shí)可有4個(gè)段被激活(稱當(dāng)前段)。個(gè)段被激活(稱當(dāng)前段)。它們是它們是代碼段代碼段、數(shù)據(jù)段數(shù)據(jù)段、堆棧段堆棧段、附加段附加段。其。其段地址分別保存于段地址分別保存于CS,DS,SS,ES中。中。分段要求:分段要求:1保持保持16個(gè)字節(jié)或其整數(shù)倍為段地址間距。個(gè)字節(jié)或其整數(shù)倍為段地址間距。216位段寄存器表示段基址。段寄存器加位段寄存器表示段基址。段寄存器加1實(shí)際上存儲(chǔ)器地址加實(shí)際上存儲(chǔ)器地址加16。3段可連續(xù)、分散、重迭。段可連續(xù)、分散、重迭。代碼段代碼段0000

17、0H00001HFFFFFHFFFFEH數(shù)據(jù)段數(shù)據(jù)段堆棧段堆棧段附加段附加段CSESSSDS18可以表示為可以表示為0100H:0023HPA= 0100H10H+0023H =01023H偏移偏移23H偏移偏移03H也可表示為也可表示為0102H:0003HPA= 0102H10H+0003H =01023H00000H00001HFFFFFHFFFFEHXX01023H01000H01001H01002H01022H01021H01020H0100H:0000H0102H:0000H0102H:0001H0100H:0001H【例】對(duì)于物理地址【例】對(duì)于物理地址01023H單元單元 邏輯地

18、址(邏輯地址(LA)與物理地址()與物理地址(PA)的轉(zhuǎn)換需要作如下計(jì)算:)的轉(zhuǎn)換需要作如下計(jì)算: 20位物理地址位物理地址(PA)=(16位段地址)位段地址)16(16位位偏移地址偏移地址)1920物理地址和邏輯地址的轉(zhuǎn)換物理地址和邏輯地址的轉(zhuǎn)換將邏輯地址中的段地址左移將邏輯地址中的段地址左移4位,加上位,加上偏移地址就得到偏移地址就得到20位物理地址位物理地址一個(gè)物理地址可以有多個(gè)邏輯地址一個(gè)物理地址可以有多個(gè)邏輯地址邏輯地址邏輯地址 1460:1001460:100、1380:F001380:F00物理地址物理地址 14700H 14700H14700H 14700H146014600

19、0H H 100H100H14700H14700H138013800 0H H F00HF00H14700H14700H段地址左移段地址左移4 4位位加上偏移地址加上偏移地址得到物理地址得到物理地址213. 段寄存器段寄存器8088有有4個(gè)個(gè)16位段寄存器位段寄存器CS指明指明代碼段代碼段的起始地址的起始地址SS指明指明堆棧段堆棧段的起始地址的起始地址DS指明指明數(shù)據(jù)段數(shù)據(jù)段的起始地址的起始地址ES指明指明附加段附加段的起始地址的起始地址每個(gè)段寄存器用來確定一個(gè)邏輯段的起每個(gè)段寄存器用來確定一個(gè)邏輯段的起始地址,每種邏輯段均有各自的用途始地址,每種邏輯段均有各自的用途22代碼段寄存器代碼段寄存

20、器CS(Code Segment)代碼段用來存放程序的指令序列代碼段用來存放程序的指令序列代碼段寄存器代碼段寄存器CS存放代碼段的段地址存放代碼段的段地址指令指針寄存器指令指針寄存器IP指示下條指令的偏移地址指示下條指令的偏移地址處理器利用處理器利用CS:IP取得下一條要執(zhí)行的指令取得下一條要執(zhí)行的指令23堆棧段寄存器堆棧段寄存器SS(Stack Segment)堆棧段確定堆棧所在的主存區(qū)域堆棧段確定堆棧所在的主存區(qū)域堆棧段寄存器堆棧段寄存器SS存放堆棧段的段地址存放堆棧段的段地址堆棧指針寄存器堆棧指針寄存器SP指示堆棧棧頂?shù)钠频刂分甘径褩m數(shù)钠频刂诽幚砥骼锰幚砥骼肧S:SP操作堆棧

21、頂?shù)臄?shù)據(jù)操作堆棧頂?shù)臄?shù)據(jù)24數(shù)據(jù)段寄存器數(shù)據(jù)段寄存器DS(Data Segment)數(shù)據(jù)段存放運(yùn)行程序所用的數(shù)據(jù)數(shù)據(jù)段存放運(yùn)行程序所用的數(shù)據(jù)數(shù)據(jù)段寄存器數(shù)據(jù)段寄存器DS存放數(shù)據(jù)段的段地址存放數(shù)據(jù)段的段地址各種主存尋址方式(有效地址各種主存尋址方式(有效地址EA)得到存儲(chǔ)器)得到存儲(chǔ)器中操作數(shù)的偏移地址中操作數(shù)的偏移地址處理器利用處理器利用DS:EA存取數(shù)據(jù)段中的數(shù)據(jù)存取數(shù)據(jù)段中的數(shù)據(jù)25附加段寄存器附加段寄存器ES(Extra Segment)附加段是附加的數(shù)據(jù)段,也保存數(shù)據(jù):附加段是附加的數(shù)據(jù)段,也保存數(shù)據(jù):附加段寄存器附加段寄存器ES存放附加段的段地址存放附加段的段地址各種主存尋址方式(有

22、效地址各種主存尋址方式(有效地址EA)得到存儲(chǔ)器)得到存儲(chǔ)器中操作數(shù)的偏移地址中操作數(shù)的偏移地址處理器利用處理器利用ES:EA存取附加段中的數(shù)據(jù)存取附加段中的數(shù)據(jù)串操作指令將附加段作為其目的操作數(shù)的串操作指令將附加段作為其目的操作數(shù)的存放區(qū)域存放區(qū)域26如何分配各個(gè)邏輯段如何分配各個(gè)邏輯段程序的程序的指令指令序列必須安排在代碼段序列必須安排在代碼段程序使用的程序使用的堆棧堆棧一定在堆棧段一定在堆棧段程序中的程序中的數(shù)據(jù)數(shù)據(jù)默認(rèn)默認(rèn)是安排在數(shù)據(jù)段,是安排在數(shù)據(jù)段,也經(jīng)常安排在附加段,尤其是串操作也經(jīng)常安排在附加段,尤其是串操作的目的區(qū)必須是附加段的目的區(qū)必須是附加段數(shù)據(jù)的存放比較靈活,實(shí)際上可以

23、存數(shù)據(jù)的存放比較靈活,實(shí)際上可以存放在任何一種邏輯段中放在任何一種邏輯段中27段超越前綴指令段超越前綴指令沒有指明時(shí),一般的數(shù)據(jù)訪問在沒有指明時(shí),一般的數(shù)據(jù)訪問在DS段;段;使用使用BP訪問主存,則在訪問主存,則在SS段段默認(rèn)的情況允許改變,需要使用段超默認(rèn)的情況允許改變,需要使用段超越前綴指令;越前綴指令;8088指令系統(tǒng)中有指令系統(tǒng)中有4個(gè):個(gè):CS:;代碼段超越,使用代碼段的數(shù)據(jù);代碼段超越,使用代碼段的數(shù)據(jù)SS: ;堆棧段超越,使用堆棧段的數(shù)據(jù);堆棧段超越,使用堆棧段的數(shù)據(jù)DS: ;數(shù)據(jù)段超越,使用數(shù)據(jù)段的數(shù)據(jù);數(shù)據(jù)段超越,使用數(shù)據(jù)段的數(shù)據(jù)ES: ;附加段超越,使用附加段的數(shù)據(jù);附加段

24、超越,使用附加段的數(shù)據(jù)28段超越的示例段超越的示例沒有段超越的指令實(shí)例:沒有段超越的指令實(shí)例:MOV AX,2000H ;AXDS:2000H;從默認(rèn)的;從默認(rèn)的DS數(shù)據(jù)段取出數(shù)據(jù)數(shù)據(jù)段取出數(shù)據(jù)采用段超越前綴的指令實(shí)例:采用段超越前綴的指令實(shí)例:MOV AX,ES:2000H;AXES:2000H;從指定的;從指定的ES附加段取出數(shù)據(jù)附加段取出數(shù)據(jù)29段寄存器的使用規(guī)定段寄存器的使用規(guī)定訪問存儲(chǔ)器的方式訪問存儲(chǔ)器的方式默認(rèn)默認(rèn)可超越可超越偏移地址偏移地址取指令取指令CSCS無無IPIP堆棧操作堆棧操作SSSS無無SPSP一般數(shù)據(jù)訪問一般數(shù)據(jù)訪問DSDSCS ES SSCS ES SS有效地址有

25、效地址EAEABPBP基址的尋址方式基址的尋址方式SSSSCS ES DSCS ES DS有效地址有效地址EAEA串操作的源操作數(shù)串操作的源操作數(shù)DSDSCS ES SSCS ES SSSISI串操作的目的操作數(shù)串操作的目的操作數(shù)ESES無無DIDI30寄存器的總結(jié)寄存器的總結(jié)8088有有8個(gè)個(gè)8位通用寄存器、位通用寄存器、8個(gè)個(gè)16位通用位通用寄存器寄存器8088有有6個(gè)狀態(tài)標(biāo)志和個(gè)狀態(tài)標(biāo)志和3個(gè)控制標(biāo)志個(gè)控制標(biāo)志8088將將1MB存儲(chǔ)空間分段管理,有存儲(chǔ)空間分段管理,有4個(gè)段個(gè)段寄存器,對(duì)應(yīng)寄存器,對(duì)應(yīng)4種邏輯段種邏輯段8088有有4個(gè)段超越前綴指令,用于明確指定個(gè)段超越前綴指令,用于明確

26、指定數(shù)據(jù)所在的邏輯段數(shù)據(jù)所在的邏輯段 熟悉上述內(nèi)容后,就可以進(jìn)入下節(jié)熟悉上述內(nèi)容后,就可以進(jìn)入下節(jié)3控制寄存器控制寄存器 8086微處理器中有微處理器中有2個(gè)用于控制目的的寄存器,一個(gè)是個(gè)用于控制目的的寄存器,一個(gè)是指令指針寄存器指令指針寄存器IP(Instruction Pointer),另一個(gè)是標(biāo)志寄,另一個(gè)是標(biāo)志寄存器存器FLAG(PSW,Program Status Word)。u IP用于保存微處理器下一條待執(zhí)行指令的地址(偏移量)用于保存微處理器下一條待執(zhí)行指令的地址(偏移量)u 標(biāo)志寄存器標(biāo)志寄存器FLAG保存了兩組狀態(tài)信息,一組是微處理保存了兩組狀態(tài)信息,一組是微處理器當(dāng)前的運(yùn)

27、行狀態(tài)稱為器當(dāng)前的運(yùn)行狀態(tài)稱為控制標(biāo)志控制標(biāo)志;另一組是微處理器執(zhí)行;另一組是微處理器執(zhí)行上一條指令后的結(jié)果信息,稱為上一條指令后的結(jié)果信息,稱為狀態(tài)標(biāo)志。狀態(tài)標(biāo)志。31TF DF IF OF SF ZF AF PF CF控制標(biāo)志控制標(biāo)志狀態(tài)標(biāo)志狀態(tài)標(biāo)志跟跟蹤蹤狀態(tài)標(biāo)志:狀態(tài)標(biāo)志:標(biāo)示標(biāo)示CPUCPU運(yùn)運(yùn)行結(jié)果的狀態(tài)。結(jié)果為行結(jié)果的狀態(tài)。結(jié)果為零、為負(fù)、產(chǎn)生進(jìn)位或零、為負(fù)、產(chǎn)生進(jìn)位或借位等。借位等。半進(jìn)位半進(jìn)位奇偶奇偶進(jìn)位進(jìn)位零零符號(hào)符號(hào)溢出溢出中斷中斷方向方向控制標(biāo)志:控制標(biāo)志:控制控制CPUCPU的運(yùn)行狀態(tài)。的運(yùn)行狀態(tài)。32標(biāo)志位標(biāo)志位名稱名稱說明說明功能功能類別類別CF(Carry Fl

28、ag)進(jìn)位標(biāo)志進(jìn)位標(biāo)志CF=1最高位產(chǎn)生進(jìn)位或借位;最高位產(chǎn)生進(jìn)位或借位;CF=0最高位無進(jìn)位或借位;最高位無進(jìn)位或借位;表示數(shù)值運(yùn)算結(jié)果表示數(shù)值運(yùn)算結(jié)果是否產(chǎn)生進(jìn)位或借是否產(chǎn)生進(jìn)位或借位位狀狀態(tài)態(tài)標(biāo)標(biāo)志志PF(Parity Flag)奇偶標(biāo)志奇偶標(biāo)志PF=1低低8位有偶數(shù)個(gè)位有偶數(shù)個(gè)1;PF=0低低8位有奇數(shù)個(gè)位有奇數(shù)個(gè)1;檢查通信時(shí)傳送的檢查通信時(shí)傳送的數(shù)據(jù)是否正確數(shù)據(jù)是否正確AF(Auxiliary Carry Flag)輔助進(jìn)位輔助進(jìn)位標(biāo)志標(biāo)志AF=1低低4位有進(jìn)位或借位;位有進(jìn)位或借位;AF=0低低4位無進(jìn)位或借位;位無進(jìn)位或借位;輔助進(jìn)行輔助進(jìn)行BCD碼運(yùn)碼運(yùn)算調(diào)整算調(diào)整ZF(Ze

29、ro Flag)零標(biāo)志零標(biāo)志ZF=1運(yùn)算結(jié)果為零;運(yùn)算結(jié)果為零;ZF=0運(yùn)算結(jié)果不為零;運(yùn)算結(jié)果不為零;判斷運(yùn)算結(jié)果是否判斷運(yùn)算結(jié)果是否為零或相等為零或相等SF(Sign Flag)符號(hào)標(biāo)志符號(hào)標(biāo)志SF=1運(yùn)算結(jié)果符號(hào)為負(fù);運(yùn)算結(jié)果符號(hào)為負(fù);SF=0運(yùn)算結(jié)果符號(hào)為正;運(yùn)算結(jié)果符號(hào)為正;利用運(yùn)算結(jié)果進(jìn)行利用運(yùn)算結(jié)果進(jìn)行數(shù)值判斷等數(shù)值判斷等OF(Overflow Flag)溢出標(biāo)志溢出標(biāo)志OF=1有符號(hào)數(shù)運(yùn)算產(chǎn)生溢出;有符號(hào)數(shù)運(yùn)算產(chǎn)生溢出;OF=0運(yùn)算結(jié)果未溢出;運(yùn)算結(jié)果未溢出;有符號(hào)數(shù)運(yùn)算是否有符號(hào)數(shù)運(yùn)算是否出錯(cuò)出錯(cuò)TF(Trap Flag)跟蹤標(biāo)志跟蹤標(biāo)志TF=1 CPU單步運(yùn)行;單步運(yùn)行;T

30、F=0 CPU正常運(yùn)行;正常運(yùn)行;跟蹤程序進(jìn)行調(diào)試跟蹤程序進(jìn)行調(diào)試控控制制標(biāo)標(biāo)志志IF(Interrupt Enable Flag)中斷允許中斷允許標(biāo)志標(biāo)志IF=1 CPU接受外部中斷;接受外部中斷;IF=0 CPU不接受外部中斷;不接受外部中斷;控制可屏蔽中斷控制可屏蔽中斷DF(Direction Flag)方向標(biāo)志方向標(biāo)志DF=1操作地址遞減;操作地址遞減;DF=0操作地址遞增。操作地址遞增??刂浦噶畈僮鞣较蚩刂浦噶畈僮鞣较?3Vcc40AD1539A16/S338A17/S4373635BHE/S73433323130292827DEN(S0)26ALE(QS0)252423READY2

31、2RESET21A18/S5A19/S61234567891011121314151617181920MN/MXRDHLDA(RQ/GT1)HOLD(RQ/GT0)WR(LOCK)M/IO(S2)TESTINTA(QS1)DT/R(S1)AD14AD13AD12AD11AD10AD9AD8AD7AD6AD5AD4AD3AD2AD1AD0GNDNMIINTRCLKGND8086CPU2.3.4 8086微處理器的引腳及功能微處理器的引腳及功能 1、地址總線和數(shù)據(jù)總線、地址總線和數(shù)據(jù)總線 (1) AD0 AD15地址數(shù)據(jù)線地址數(shù)據(jù)線 T1:為地址線,:為地址線,A0A15單向輸出三態(tài)單向輸出三態(tài)

32、T2T4:為數(shù)據(jù)線雙向三態(tài),:為數(shù)據(jù)線雙向三態(tài),D0 D15(2)A19/S6A16/S3地址地址/狀態(tài)線,狀態(tài)線,單向三態(tài)單向三態(tài) BHE/S7(3)342、控制總線、控制總線Vcc40AD1539A16/S338A17/S4373635BHE/S73433323130292827DEN(S0)26ALE(QS0)252423READY22RESET21A18/S5A19/S61234567891011121314151617181920MN/MXRDHLDA(RQ/GT1)HOLD(RQ/GT0)WR(LOCK)M/IO(S2)TESTINTA(QS1)DT/R(S1)AD14AD13AD

33、12AD11AD10AD9AD8AD7AD6AD5AD4AD3AD2AD1AD0GNDNMIINTRCLKGND8086CPUMN/MX=0,最大工作模式最大工作模式 =1,最小工作模式最小工作模式 (1)MN/MX工作工作模式信號(hào)模式信號(hào)(2)NMI ,不可屏蔽中斷不可屏蔽中斷, 單向、單向、輸輸入入CPU不可以進(jìn)行屏蔽。執(zhí)行完本條指令不可以進(jìn)行屏蔽。執(zhí)行完本條指令后控制轉(zhuǎn)移到中斷服務(wù)程序。(如掉電后控制轉(zhuǎn)移到中斷服務(wù)程序。(如掉電等特殊情況)等特殊情況)(3)INTR,可屏蔽中斷可屏蔽中斷, 單向單向、輸入、輸入。只有當(dāng)只有當(dāng)IF=1時(shí)外設(shè)的中斷請(qǐng)求才可能時(shí)外設(shè)的中斷請(qǐng)求才可能被響應(yīng)。當(dāng)被

34、響應(yīng)。當(dāng)IF=0時(shí)所有的中斷申請(qǐng)均時(shí)所有的中斷申請(qǐng)均不能響應(yīng)。不能響應(yīng)。35M/IOM/IO=0,選擇,選擇I/O端口端口=1,選擇,選擇存儲(chǔ)器存儲(chǔ)器存儲(chǔ)器、存儲(chǔ)器、I/O端口選擇信號(hào),單向,輸出端口選擇信號(hào),單向,輸出(4)讀操作有效信號(hào),讀操作有效信號(hào),單向、輸出單向、輸出M/IO配合完成配合完成MEM和和I/O讀操作讀操作RD(5)=0,讀讀I/O端口端口=0,讀存儲(chǔ)器讀存儲(chǔ)器RDRDM/IO=0=1與與(6)CLK 主時(shí)鐘引入線主時(shí)鐘引入線4.77M10M為為8088和和8086的主時(shí)鐘。的主時(shí)鐘。(7)RESET復(fù)位信號(hào),單向、輸入復(fù)位信號(hào),單向、輸入(8)READY準(zhǔn)備好信號(hào),單向

35、、輸入準(zhǔn)備好信號(hào),單向、輸入Vcc40AD1539A16/S338A17/S4373635BHE/S73433323130292827DEN(S0)26ALE(QS0)252423READY22RESET21A18/S5A19/S61234567891011121314151617181920MN/MXRDHLDA(RQ/GT1)HOLD(RQ/GT0)WR(LOCK)M/IO(S2)TESTINTA(QS1)DT/R(S1)AD14AD13AD12AD11AD10AD9AD8AD7AD6AD5AD4AD3AD2AD1AD0GNDNMIINTRCLKGND8086CPU36(10)GND、VC

36、C VCC=+5V,GND=0V 電源的正負(fù)極。電源的正負(fù)極。最小工作模式下的控制信號(hào):最小工作模式下的控制信號(hào): TEST測(cè)試信號(hào),單向、輸入。測(cè)試信號(hào),單向、輸入。 (9)=0,寫寫I/O端口端口=0,寫,寫存儲(chǔ)器存儲(chǔ)器WRWRM/IO=0=1寫操作有效信號(hào),寫操作有效信號(hào),單向、輸出單向、輸出M/IO配合完成配合完成MEM和和I/O寫操作寫操作WR(11)與與INTA(12)可屏蔽中斷應(yīng)答信號(hào),單向、可屏蔽中斷應(yīng)答信號(hào),單向、輸出輸出(13)ALE 地址鎖存信號(hào)地址鎖存信號(hào) ,單向,輸出。單向,輸出。 T1 : 鎖存鎖存AD0AD15上的地址信號(hào),經(jīng)上的地址信號(hào),經(jīng)鎖存器得到鎖存器得到A

37、0A19地址總線。地址總線。 Vcc40AD1539A16/S338A17/S4373635BHE/S73433323130292827DEN(S0)26ALE(QS0)252423READY22RESET21A18/S5A19/S61234567891011121314151617181920MN/MXRDHLDA(RQ/GT1)HOLD(RQ/GT0)WR(LOCK)M/IO(S2)TESTINTA(QS1)DT/R(S1)AD14AD13AD12AD11AD10AD9AD8AD7AD6AD5AD4AD3AD2AD1AD0GNDNMIINTRCLKGND8086CPU37DEN(14)數(shù)據(jù)

38、允許數(shù)據(jù)允許 ,單向,輸出。,單向,輸出。 數(shù)據(jù)接收,外部至數(shù)據(jù)接收,外部至CPU數(shù)據(jù)發(fā)送,數(shù)據(jù)發(fā)送,CPU至外部至外部 DT/R=0=1數(shù)據(jù)收發(fā)信號(hào)數(shù)據(jù)收發(fā)信號(hào) ,單向,輸出。,單向,輸出。(15)DT/R(16)HOLD總線請(qǐng)求,單向、總線請(qǐng)求,單向、輸入輸入。 總線請(qǐng)求部件發(fā)出總線請(qǐng)求部件發(fā)出HOLD=1,產(chǎn)生,產(chǎn)生一個(gè)總線請(qǐng)求。一個(gè)總線請(qǐng)求。(17)HLDA總線應(yīng)答,單向、總線應(yīng)答,單向、輸出輸出。Vcc40AD1539A16/S338A17/S4373635BHE/S73433323130292827DEN(S0)26ALE(QS0)252423READY22RESET21A18/S

39、5A19/S61234567891011121314151617181920MN/MXRDHLDA(RQ/GT1)HOLD(RQ/GT0)WR(LOCK)M/IO(S2)TESTINTA(QS1)DT/R(S1)AD14AD13AD12AD11AD10AD9AD8AD7AD6AD5AD4AD3AD2AD1AD0GNDNMIINTRCLKGND8086CPU38最大工作模式下的控制信號(hào):最大工作模式下的控制信號(hào): (1)QS0、QS1,指令隊(duì)列狀,指令隊(duì)列狀態(tài),單向、輸出。態(tài),單向、輸出。QS1 QS0 0 0 無操作無操作 0 1 第一字節(jié)第一字節(jié) 1 0 隊(duì)列空隊(duì)列空 1 1 后續(xù)字節(jié)后續(xù)字

40、節(jié)Vcc40AD1539A16/S338A17/S4373635BHE/S73433323130292827DEN(S0)26ALE(QS0)252423READY22RESET21A18/S5A19/S61234567891011121314151617181920MN/MXRDHLDA(RQ/GT1)HOLD(RQ/GT0)WR(LOCK)M/IO(S2)TESTINTA(QS1)DT/R(S1)AD14AD13AD12AD11AD10AD9AD8AD7AD6AD5AD4AD3AD2AD1AD0GNDNMIINTRCLKGND8086CPU39(2)機(jī)器周期狀態(tài),輸出,三態(tài)機(jī)器周期狀態(tài),輸出,三態(tài)S2、S1、S0 提供當(dāng)前總線機(jī)器狀態(tài)信號(hào)作為提供當(dāng)前總線機(jī)器狀態(tài)信號(hào)作為8288的輸入信號(hào)編碼,由的輸入信號(hào)編碼,由8288輸出控制信號(hào)輸出控制信號(hào) 0 0 0 中斷響應(yīng)中斷響應(yīng) 0 0 1 讀讀I/

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論