數(shù)字電路課程設(shè)計病房呼叫系統(tǒng)課程設(shè)計說明書_第1頁
數(shù)字電路課程設(shè)計病房呼叫系統(tǒng)課程設(shè)計說明書_第2頁
數(shù)字電路課程設(shè)計病房呼叫系統(tǒng)課程設(shè)計說明書_第3頁
數(shù)字電路課程設(shè)計病房呼叫系統(tǒng)課程設(shè)計說明書_第4頁
數(shù)字電路課程設(shè)計病房呼叫系統(tǒng)課程設(shè)計說明書_第5頁
已閱讀5頁,還剩15頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、數(shù)字電子技術(shù) 課 程 設(shè) 計 題 目 優(yōu)先病房呼叫器設(shè)計 數(shù)字電子技術(shù) 課程設(shè)計任務(wù)書一、設(shè)計題目、內(nèi)容及要求設(shè)計題目:優(yōu)先病房呼叫器設(shè)計設(shè)計內(nèi)容:某醫(yī)院有7間病房,每間病房門口設(shè)有呼叫顯示燈,室內(nèi)設(shè)有緊急呼叫開關(guān),同時在護(hù)士值班室設(shè)有一個數(shù)碼顯示管,可對應(yīng)顯示病房的呼叫號碼。設(shè)計要求: 分析并設(shè)計應(yīng)用電路,實現(xiàn)相應(yīng)功能。 用Multisim進(jìn)行電路仿真。 撰寫課程設(shè)計說明書。二、設(shè)計原始資料Multisim仿真軟件、課件。三、要求的設(shè)計成果(課程設(shè)計說明書、設(shè)計實物、圖紙等)課程設(shè)計說明書、仿真電路圖。四、進(jìn)程安排周1 講解整個設(shè)計要實現(xiàn)的功能,查閱相關(guān)資料,進(jìn)行理論分析; 周2、3進(jìn)行仿真

2、并調(diào)試;周4撰寫課程設(shè)計任務(wù)書;周5答辯并提交設(shè)計說明書。五、主要參考資料1 劉全忠,劉艷莉電子技術(shù)(第三版)北京:高等教育出版社,20032 閻石數(shù)字電子技術(shù)基礎(chǔ)(第五版)北京:高等教育出版社,20063 NI-Multisim 10 經(jīng)典教程指導(dǎo)教師(簽名):教研室主任(簽名):課程設(shè)計成績評定表出勤情況出勤天數(shù) 缺勤天數(shù)成績評定出勤及設(shè)計過程表現(xiàn)(20分)課設(shè)答辯(20分)電路仿真(30分)說明書(30分)總成績(100分)提問(答辯)問題情況1.簡述系統(tǒng)的工作原理2.74LS148(或147)中的優(yōu)先級是哪兒個?3.數(shù)碼管的內(nèi)部接法是共陰極還是共陽極?綜合評定 指導(dǎo)教師簽名: 年 月

3、日目 錄第1章 概述2第2章 系統(tǒng)設(shè)計22.1方案論證22.2系統(tǒng)設(shè)計32.2.1結(jié)構(gòu)框圖及說明32.2.2系統(tǒng)原理圖及工作原理32.3單元電路設(shè)計42.3.1單元電路工作原理42.3.2元件參數(shù)選擇9第3章 軟件仿真103.1仿真過程103.2仿真結(jié)果11第4章 結(jié)論164.1對于病房呼叫系統(tǒng)的仿真設(shè)計16附錄17附錄.18參考文獻(xiàn)18收獲、體會和建議18第1章 概述病房呼叫系統(tǒng)是病人請求值班醫(yī)生或護(hù)士進(jìn)行診斷或護(hù)理的緊急呼叫工具,可將病人的請求快速傳送給值班醫(yī)生或護(hù)士,是提高醫(yī)院和病房護(hù)理水平的必備設(shè)備之一。呼叫系統(tǒng)的優(yōu)劣直接關(guān)系到病員的安危,歷來受到各大醫(yī)院的普遍重視。它要求及時、準(zhǔn)確、

4、可靠、簡便可行、利于推廣。本設(shè)計采用主從結(jié)構(gòu),基本運(yùn)作方式為。監(jiān)控機(jī)構(gòu)放置在醫(yī)生值班室內(nèi),當(dāng)病床有呼叫請求時進(jìn)行報警,并在顯示器上顯示病床的位置。呼叫源(按鈕)放在病房內(nèi),病人有呼叫請求時,按下請求按鈕,向值班室呼叫,并點亮相應(yīng)床位的指示燈。本設(shè)計分為四個主要功能模塊:呼叫顯示模塊,優(yōu)先選擇模塊,譯碼顯示模塊,以及呼叫模塊。這些模塊共同工作完成本電路的功能實現(xiàn)。其中運(yùn)用了8線3線優(yōu)先編碼器74LS148來實現(xiàn)優(yōu)先選擇模塊主要功能,其中運(yùn)用自己設(shè)計的小規(guī)模邏輯門電路,7段字形譯碼器74LS47D和共陰極七段數(shù)碼管來實現(xiàn)譯碼顯示模塊主要功能。 通過對病房呼叫系統(tǒng)電路的設(shè)計、調(diào)試,熟練掌握各種電子測

5、量儀器、儀表的正確使用方法,熟悉掌握數(shù)字邏輯電路原理及各類型數(shù)字單元電路的工作原理、電路形式、調(diào)試方法等方面知識;同時,通過對系統(tǒng)設(shè)計結(jié)果的理論分析,加強(qiáng)理論聯(lián)系實際的工作能力,對加強(qiáng)數(shù)字邏輯電路原理與技術(shù)方法的掌握,得到全面的、系統(tǒng)的訓(xùn)練,為今后從事本專業(yè)工作奠定堅實的技術(shù)基礎(chǔ)。第2章 系統(tǒng)設(shè)計 2.1方案論證 病房呼叫系統(tǒng)的設(shè)計方法很多,可由多種類型電路來構(gòu)成,這里數(shù)字邏輯電路控制系統(tǒng)主要由各種邏輯元件構(gòu)成,包括計數(shù)器、觸發(fā)器以及各種門電路,硬件設(shè)計思路非常簡單,造價低廉,元件少,體積小,穩(wěn)定性好,可靠性和性價比都很高。缺點在于功能實現(xiàn)后電路結(jié)構(gòu)復(fù)雜,維護(hù)起來比較困難。在本設(shè)計中,該電路具

6、有價格低,元件少,體積小,穩(wěn)定性好,可靠性高的特點。2.2系統(tǒng)設(shè)計優(yōu)先選擇模塊:對信號進(jìn)行優(yōu)先選擇編碼呼叫顯示模塊:對應(yīng)床位的提示燈亮呼叫信號2.2.1結(jié)構(gòu)框圖及說明譯碼顯示模塊:對信號進(jìn)行譯碼并顯示病房號 圖3-1 病房呼叫系統(tǒng)的系統(tǒng)結(jié)構(gòu)示意圖2.2.2工作原理工作原理:病房呼叫系統(tǒng)分為四個主要功能模塊:呼叫顯示模塊,優(yōu)先選擇模塊,譯碼顯示模塊,以及呼叫模塊。這些模塊共同工作完成本電路的功能實現(xiàn)。其中運(yùn)用了8線3線優(yōu)先編碼器74LS148來實現(xiàn)優(yōu)先選擇模塊主要功能,其中運(yùn)用自己設(shè)計的小規(guī)模邏輯門電路,7段字形譯碼器74LS47D和共陰極七段數(shù)碼管來實現(xiàn)譯碼顯示模塊主要功能,運(yùn)用555定時器來

7、實現(xiàn)呼叫模塊。 信號呼叫(1個至7個)由呼叫顯示模塊的各個呼叫開關(guān)發(fā)出并使對應(yīng)的病床指示燈亮起。接著,信號傳到優(yōu)先選擇模塊,將優(yōu)先級別最高的患者的信號選擇出來,并傳到呼叫模塊與譯碼顯示模塊,同時譯碼顯示模塊通過小規(guī)模邏輯門集成電路與74LS47D將信號變換成對應(yīng)的患者床號,并由七段數(shù)碼管顯示出來。2.3單元電路設(shè)計2.3.1單元電路工作原理(1)呼叫顯示模塊的設(shè)計一個或者多個病人通過關(guān)閉其對應(yīng)的呼叫開關(guān),來開啟其支路的呼叫顯示模塊,進(jìn)而開啟整個病房呼叫系統(tǒng)。顯示模塊采用一般開關(guān)驅(qū)動,高電平有效,并且每條支路加了限流電阻(133歐姆)為了使得模塊能正常工作。當(dāng)一名或者多名病人閉合各自的呼叫開關(guān)時

8、,其對應(yīng)的支路接通,并由初始的高電平變?yōu)榈碗娖诫娖?,對?yīng)的支路顯示燈會亮起,并且同時將有效信號傳到下一個功能模塊優(yōu)先選擇模塊。(2)優(yōu)先選擇模塊設(shè)計根據(jù)設(shè)計要求,數(shù)碼管要顯示優(yōu)先級別最高病房的呼叫信號,所以我們要對病房呼叫信號進(jìn)行優(yōu)先選擇并輸出。在本設(shè)計中,7號病床為優(yōu)先級最高,然后依次是5,4,3,2,1號病床。在這里,應(yīng)用了8線-3線優(yōu)先編碼器(74LS148)進(jìn)行此功能的實現(xiàn)。其輸入為低電平有效,輸出編碼為反碼形式。8線-3線優(yōu)先編碼器(74LS148)功能表如下所示:表3.1 8線-3線優(yōu)先編碼器(74LS148)功能表輸入輸出EII0I1I2I3I4I5I6I7A2A1A0GSEO1

9、xxxxxxxx11111011111111111100xxxxxxx0000010xxxxxx01001010xxxxx011010010xxxx0111011010xxx01111100010xx011111101010x01111111100100111111111101除此之外,根據(jù)使能輸出端EO的特性:當(dāng)使能輸入端EI為0時并且74LS148無有效信號輸入時,EO輸出為0;當(dāng)使能輸入端EI為0時并且74LS148為有效信號輸入時,EO輸出為1。利用EO端的輸出來控制數(shù)碼顯示電路的啟動與熄滅復(fù)位,以及555定時器的啟動與復(fù)位。8線-3線優(yōu)先編碼器(74LS148)將輸入的低電平有效信號

10、進(jìn)行優(yōu)先選擇,并且將選擇出的信號傳到譯碼顯示模塊,并且將EO端(由)的輸出信號傳到555定時器(來啟動呼叫模塊)和七段字形譯碼器(74LS47D)來啟動數(shù)碼管顯示電路。下圖為優(yōu)先選擇模塊的電路圖:圖 3-5數(shù)據(jù)選擇模塊電路圖(3)譯碼顯示模塊設(shè)計此模塊由兩小模塊構(gòu)成,即譯碼模塊與數(shù)碼管顯示模塊。先由譯碼模塊將8線-3線優(yōu)先編碼器(74LS148)輸出的三位二進(jìn)制編碼轉(zhuǎn)換成病床號所對應(yīng)的BCD碼,再將該BCD碼輸入到七段字形譯碼器(74LS47D)并由七段陰極數(shù)碼管顯示病床號數(shù)字。其流程圖如下:圖 3-6譯碼顯示模塊設(shè)計流程圖 譯碼模塊設(shè)計因為優(yōu)先選擇模塊輸出的三位二進(jìn)制編碼不是所需的病床號碼(

11、在BCD碼上相差1),所以要用譯碼將將8線-3線優(yōu)先編碼器(74LS148)輸出的三位二進(jìn)制編碼轉(zhuǎn)換成病床號所對應(yīng)的BCD碼。模塊譯碼模塊是由基本邏輯門設(shè)計而成的小規(guī)模邏輯門電路,于是得出輸入輸出真值轉(zhuǎn)換表,如下: 表3.2 輸入輸出真值轉(zhuǎn)換表圖 3-7譯碼模塊邏輯電路圖b.數(shù)碼管顯示模塊通過譯碼模塊處理后的信號成為了與病床相對應(yīng)的BCD碼,此時將該BCD碼輸入到數(shù)碼管顯示模塊,將正確的呼叫床號顯示出來。數(shù)碼管顯示模塊由七段字形譯碼器(74LS47D),共陰極七段數(shù)碼管,以及一些邏輯非門和限流電阻組成。其功能是將輸入的BCD碼以十進(jìn)制數(shù)字的形式在七段數(shù)碼管上,測試模塊如下圖所示:圖 3-8數(shù)碼

12、管顯示模塊功能電路圖2.3.2元件參數(shù)選擇1電阻在本設(shè)計中,電阻的主要作用是限制電流大小,以保證電路的正常工作,所以要正確計算出所需電阻原件的大小。應(yīng)用前,電阻阻值要用數(shù)字三用表檢測,檢查阻值是否正確。3二極管二極管在本設(shè)計中的應(yīng)用主要是發(fā)光二級管(LED),使用前必須串接相應(yīng)的電阻進(jìn)行加電測試,確認(rèn)性能良好。第3章 軟件仿真 3.1仿真電路圖 圖4-1待機(jī)情況下的病房呼叫系統(tǒng)仿真電路圖4-2工作情況下的病房呼叫系統(tǒng)仿真電路3.2仿真過程1.仿真方法介紹:(1)按照任務(wù)書上的要求,結(jié)合題型的目的,畫出相應(yīng)的電路框圖。根據(jù)電路圖選擇器件連接電路。(2)設(shè)計各個模塊的功能以及其詳細(xì)的電路連接方法。

13、(3)先在MULTISIM7中將各個模塊連接到一起,注意檢查各個部分是否連接正確和連接端是否連接好。 (4)檢查設(shè)計思路以及電路,按照設(shè)計框圖原理,查看仿真電路與原理圖是否吻合。如果不吻合則需要就將其逐個問題解決,直到所有問題都解決了為止。反之就可以進(jìn)行下一步。(4)單擊運(yùn)行按鈕運(yùn)行仿真。(5)根據(jù)仿真情況與課程設(shè)計任務(wù)對比,對于不能實現(xiàn)的任務(wù)修改并調(diào)試程序,重新裝載并重新運(yùn)行調(diào)試仿真,直到實現(xiàn)能完全實現(xiàn)所要求的功能為止。(注意所選擇的芯片參數(shù)是否正確,測試看看各管腳的電平是否符合理論計算上達(dá)到的值,同時觀察各個集成器件的各個管腳的電平變化情況,以及數(shù)碼顯示器的顯示是否正確。)(6)進(jìn)一步改進(jìn)

14、和簡化程序在進(jìn)行調(diào)試仿真。2.具體的在MULTISIM7下檢驗病房呼叫系統(tǒng)的步驟如下:(1)首先觸發(fā)一個病人信號,看數(shù)碼管是否顯示對應(yīng)的病人編號;直到完成了此功能,進(jìn)行下一向調(diào)試。(2)向調(diào)試觸發(fā)多個病人信號,同樣看數(shù)碼管是否顯示最優(yōu)先的病人編號;如能完成此功能那么它是正確的。(3)將最高級別呼叫開關(guān)斷開后,系統(tǒng)按優(yōu)先等級顯示下一個優(yōu)先級高的病人編號。 3.另外本設(shè)計整體功能如下: (1)當(dāng)病人按下呼救信號按鈕,呼救燈亮,同時顯示病人編號。(2)按照病人的病情劃分出優(yōu)先級別,有多個病人同時呼救時,系統(tǒng)優(yōu)先顯示最高級別的呼救編號。 (3)當(dāng)醫(yī)護(hù)人員處理完最高級別呼救后,把該病房開關(guān)關(guān)閉,系統(tǒng)按優(yōu)

15、先等級顯示下一個優(yōu)先級高的病人編號。3.3仿真結(jié)果在MULTISIM7的仿真界面上可以看如下結(jié)果:(1) 系統(tǒng)處于待機(jī)狀態(tài):顯示燈與顯示數(shù)碼管全滅,呼叫模塊已經(jīng)復(fù)位。結(jié)果如下如圖所示:圖4.3.1 待機(jī)狀態(tài)(2) 首先觸發(fā)一個病人信號:3號,3號對應(yīng)的發(fā)光二極管發(fā)光(紅色),蜂鳴器聲音響,數(shù)碼管顯示對應(yīng)的3號病人編號;完成了此功能。結(jié)果如下圖所示:圖4.3.4 3號床呼叫(3) 向調(diào)試開啟1,5,6,7病人的呼叫信號,1,5,6,7號對應(yīng)的發(fā)光二極管發(fā)光(紅色)數(shù)碼管顯示對應(yīng)的7號病人編號。完成了此功能。結(jié)果如下圖所示:圖4.3.5 1號,5號,6,7號床呼叫(4) 將最高級別(7號)呼叫開關(guān)

16、斷開后,系統(tǒng)按優(yōu)先等級顯示下一個優(yōu)先級高的病人編號(6號)。完成了此功能。結(jié)果如下圖4.3.6 1號,5號床呼叫 第4章 結(jié)論4.1對于病房呼叫系統(tǒng)的仿真設(shè)計(1)能實現(xiàn)的功能 當(dāng)有病人緊急呼叫時,產(chǎn)生聲,光提示,并顯示病人的編號;根據(jù)病人的病情設(shè)計優(yōu)先級別,當(dāng)有多人呼叫時,病情嚴(yán)重者優(yōu)先;醫(yī)護(hù)人員處理完當(dāng)前最高級別的呼叫后,系統(tǒng)按優(yōu)先級別顯示其他呼叫病人的病號;擁有自動復(fù)位功能。(2)本設(shè)計分為四個主要功能模塊:呼叫顯示模塊,優(yōu)先選擇模塊,譯碼顯示模塊,以及呼叫模塊。這些模塊共同工作完成本電路的功能實現(xiàn)。(3)其中運(yùn)用了8線3線優(yōu)先編碼器74LS148來實現(xiàn)優(yōu)先選擇模塊主要功能,其中運(yùn)用自己

17、設(shè)計的小規(guī)模邏輯門電路,7段字形譯碼器74LS47D和共陰極七段數(shù)碼管來實現(xiàn)譯碼顯示模塊主要功能,運(yùn)用555定時器來實現(xiàn)呼叫模塊。 (4)用較為簡單的數(shù)字電路實現(xiàn)了一個具有實際應(yīng)用背景的病房呼叫系統(tǒng)的設(shè)計 。附錄所用主要儀器見表1 表7.1 所用主要儀器名稱元件型號/規(guī)格數(shù)量8線3線優(yōu)先編碼器74LS1481片7段字形譯碼器74LS47D1片電阻500歐姆7個數(shù)碼管7段共陰極1個LED(發(fā)光二極管)紅7個開關(guān) 7個非門74SOD3個附錄仿真電路圖參考文獻(xiàn):數(shù)字電子技術(shù)基礎(chǔ)第四版 閻石 主編高等教育出版社 電子技術(shù)實驗與課程設(shè)計第三版 畢滿清 主編 機(jī)械工業(yè)出版社 數(shù)字電子技術(shù)基礎(chǔ)教程 夏路易 主編 電子工業(yè)出版社 注:部分資料參考網(wǎng)上相關(guān)內(nèi)容。 收獲、體會和建議通過這次實驗設(shè)計,完成了病房呼叫系統(tǒng)的原理設(shè)計與仿真實現(xiàn),運(yùn)用模塊化的設(shè)計思想將系統(tǒng)分為四大功能模塊:呼叫顯示模塊,優(yōu)先選擇模塊,譯碼顯示模塊,以及呼叫模塊。這些模塊共同工作完成本

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論