I2C和SPI總線綜述_第1頁
I2C和SPI總線綜述_第2頁
I2C和SPI總線綜述_第3頁
I2C和SPI總線綜述_第4頁
I2C和SPI總線綜述_第5頁
已閱讀5頁,還剩25頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、1I2C串行總線的組成及工作原理串行總線的組成及工作原理西安交通大學(xué)西安交通大學(xué) 陶唐飛陶唐飛2I2C串行總線概述串行總線概述 I2C總線,是總線,是INTER-IC串行總線的縮寫。串行總線的縮寫。INTER-IC意意思是用于相互作用的集成電路,這種集成電路主要由思是用于相互作用的集成電路,這種集成電路主要由雙向串雙向串行時鐘線行時鐘線SCL和和雙向串行數(shù)據(jù)線雙向串行數(shù)據(jù)線SDA兩條線路組成。兩條線路組成。 I2C總線是總線是PHLIPS公司推出的一種公司推出的一種串行總線串行總線,是具備多,是具備多主機(jī)系統(tǒng)所需的包括主機(jī)系統(tǒng)所需的包括總線裁決總線裁決和和高低速器件同步功能高低速器件同步功能的

2、高性的高性能串行總線。能串行總線。 I2C總線只有總線只有兩根雙向信號線兩根雙向信號線。一根是。一根是數(shù)據(jù)線數(shù)據(jù)線SDA,另一根,另一根是是時鐘線時鐘線SCL。3 I2C總線通過上拉電阻接正電源。當(dāng)總線通過上拉電阻接正電源。當(dāng)總線空閑時,兩根線總線空閑時,兩根線均為高電平均為高電平。連到總線上的任一器件輸出的低電平,都將使總。連到總線上的任一器件輸出的低電平,都將使總線的信號變低,即各器件的線的信號變低,即各器件的SDA及及SCL都是都是線線“與與”關(guān)系。關(guān)系。I2C串行總線概述串行總線概述4 每個接到每個接到I2C總線上的器件都有唯一的地址。主機(jī)與其總線上的器件都有唯一的地址。主機(jī)與其它器件

3、間的數(shù)據(jù)傳送可以是它器件間的數(shù)據(jù)傳送可以是由主機(jī)發(fā)送數(shù)據(jù)到其它器件由主機(jī)發(fā)送數(shù)據(jù)到其它器件,這,這時時主機(jī)主機(jī)即為即為發(fā)送器發(fā)送器。由總線上。由總線上接收數(shù)據(jù)的器件接收數(shù)據(jù)的器件則為則為接收器接收器。 在多主機(jī)系統(tǒng)中,可能同時有幾個主機(jī)企圖啟動總線傳在多主機(jī)系統(tǒng)中,可能同時有幾個主機(jī)企圖啟動總線傳送數(shù)據(jù)。為了避免混亂,送數(shù)據(jù)。為了避免混亂, I2C總線要通過總線要通過總線仲裁總線仲裁,以決定,以決定由哪一臺主機(jī)控制總線。由哪一臺主機(jī)控制總線。 在在80C51單片機(jī)應(yīng)用系統(tǒng)的串行總線擴(kuò)展中,我們經(jīng)常單片機(jī)應(yīng)用系統(tǒng)的串行總線擴(kuò)展中,我們經(jīng)常遇到的是以遇到的是以80C51單片機(jī)為主機(jī),其它接口器件為

4、從機(jī)的單單片機(jī)為主機(jī),其它接口器件為從機(jī)的單主機(jī)情況。主機(jī)情況。 I2C串行總線概述串行總線概述主機(jī)主機(jī):初始化發(fā)送、產(chǎn)生時鐘信號和終止發(fā)送的器件,它可:初始化發(fā)送、產(chǎn)生時鐘信號和終止發(fā)送的器件,它可以是以是發(fā)送器或接收器發(fā)送器或接收器。主機(jī)通常是微處理器。主機(jī)通常是微處理器。從機(jī)從機(jī):被主機(jī)尋址的器件,它可以是:被主機(jī)尋址的器件,它可以是發(fā)送器或接收器發(fā)送器或接收器, 5一、數(shù)據(jù)位的有效性規(guī)定一、數(shù)據(jù)位的有效性規(guī)定 I2C總線進(jìn)行數(shù)據(jù)傳送時,總線進(jìn)行數(shù)據(jù)傳送時,時鐘信號為高電平期間,數(shù)時鐘信號為高電平期間,數(shù)據(jù)線上的數(shù)據(jù)必須保持穩(wěn)定據(jù)線上的數(shù)據(jù)必須保持穩(wěn)定,只有在時鐘線上的信號為低只有在時鐘

5、線上的信號為低電平期間,數(shù)據(jù)線上的高電平或低電平狀態(tài)才允許變化電平期間,數(shù)據(jù)線上的高電平或低電平狀態(tài)才允許變化。I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送6二、起始和終止信號二、起始和終止信號 SCL線為高電平期間,線為高電平期間,SDA線由高電平向低電平的變線由高電平向低電平的變化表示起始信號化表示起始信號;SCL線為高電平期間,線為高電平期間,SDA線由低電平線由低電平向高電平的變化表示終止信號向高電平的變化表示終止信號。 I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送 I2C總線中唯一違反上述數(shù)據(jù)有效性的是被定義為總線中唯一違反上述數(shù)據(jù)有效性的是被定義為起始起始(S)和停止()和停止(P)條件。條件。 7起

6、始和終止信號都是由主機(jī)發(fā)出的。起始和終止信號都是由主機(jī)發(fā)出的。在起始信號產(chǎn)生后,總線就處于被占用的狀態(tài)在起始信號產(chǎn)生后,總線就處于被占用的狀態(tài);在終止信號產(chǎn)生后,總線就處于空閑狀態(tài)。在終止信號產(chǎn)生后,總線就處于空閑狀態(tài)。連接到連接到I2C總線上的器件總線上的器件若具有若具有I2C總線的硬件接口,則很容易檢測到起始和終止總線的硬件接口,則很容易檢測到起始和終止信號。信號。 對于不具備對于不具備I2C總線硬件接口的有些單片機(jī)來說,為了檢總線硬件接口的有些單片機(jī)來說,為了檢測起始和終止信號,必須測起始和終止信號,必須保證在每個時鐘周期內(nèi)對數(shù)據(jù)保證在每個時鐘周期內(nèi)對數(shù)據(jù)線線SDA采樣兩次采樣兩次。 I

7、2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送8 接收器件收到一個完整的數(shù)據(jù)字節(jié)后,有可能需要完接收器件收到一個完整的數(shù)據(jù)字節(jié)后,有可能需要完成一些其它工作,如處理內(nèi)部中斷服務(wù)等,可能無法立刻成一些其它工作,如處理內(nèi)部中斷服務(wù)等,可能無法立刻接收下一個字節(jié),這時接收下一個字節(jié),這時接收器件接收器件可以可以將將SCL線拉成低電平線拉成低電平,從而使主機(jī)處于,從而使主機(jī)處于等待狀態(tài)等待狀態(tài)。直到接收器件準(zhǔn)備好接收下。直到接收器件準(zhǔn)備好接收下一個字節(jié)時,再一個字節(jié)時,再釋放釋放SCL線使之為高電平,從而使數(shù)據(jù)傳線使之為高電平,從而使數(shù)據(jù)傳送可以繼續(xù)進(jìn)行送可以繼續(xù)進(jìn)行。 I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送9三、數(shù)據(jù)

8、傳送格式三、數(shù)據(jù)傳送格式(1)字節(jié)傳送與應(yīng)答)字節(jié)傳送與應(yīng)答每一個字節(jié)必須保證是每一個字節(jié)必須保證是8位位長度。長度。數(shù)據(jù)傳送時,數(shù)據(jù)傳送時,先傳送最高位先傳送最高位(MSB),每一個被傳送的),每一個被傳送的字節(jié)后面都必須跟隨字節(jié)后面都必須跟隨一位應(yīng)答位一位應(yīng)答位(即(即一幀共有一幀共有9位位)。)。 I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送10由于某種原因從機(jī)由于某種原因從機(jī)不不對主機(jī)尋址信號對主機(jī)尋址信號應(yīng)答應(yīng)答時(如從機(jī)正在時(如從機(jī)正在進(jìn)行實時性的處理工作而無法接收總線上的數(shù)據(jù)),它進(jìn)行實時性的處理工作而無法接收總線上的數(shù)據(jù)),它必必須將數(shù)據(jù)線置于高電平須將數(shù)據(jù)線置于高電平,而由,而由主機(jī)

9、產(chǎn)生一個終止信號以結(jié)主機(jī)產(chǎn)生一個終止信號以結(jié)束總線的數(shù)據(jù)傳送束總線的數(shù)據(jù)傳送。如果從機(jī)對主機(jī)進(jìn)行了如果從機(jī)對主機(jī)進(jìn)行了應(yīng)答應(yīng)答,但,但在數(shù)據(jù)傳送一段時間后無在數(shù)據(jù)傳送一段時間后無法繼續(xù)接收更多的數(shù)據(jù)法繼續(xù)接收更多的數(shù)據(jù)時,從機(jī)可以通過對無法接收的第時,從機(jī)可以通過對無法接收的第一個數(shù)據(jù)字節(jié)的一個數(shù)據(jù)字節(jié)的“非應(yīng)答非應(yīng)答”通知主機(jī),通知主機(jī),主機(jī)則應(yīng)發(fā)出終止主機(jī)則應(yīng)發(fā)出終止信號以結(jié)束數(shù)據(jù)的繼續(xù)傳送信號以結(jié)束數(shù)據(jù)的繼續(xù)傳送。當(dāng)主機(jī)接收數(shù)據(jù)時,它收到最后一個數(shù)據(jù)字節(jié)后,必須向當(dāng)主機(jī)接收數(shù)據(jù)時,它收到最后一個數(shù)據(jù)字節(jié)后,必須向從機(jī)發(fā)出一個結(jié)束傳送的信號。這個信號是由對從機(jī)的從機(jī)發(fā)出一個結(jié)束傳送的信號。

10、這個信號是由對從機(jī)的“非應(yīng)答非應(yīng)答”來實現(xiàn)的。然后,從機(jī)釋放來實現(xiàn)的。然后,從機(jī)釋放SDA線,以允許主機(jī)線,以允許主機(jī)產(chǎn)生終止信號。產(chǎn)生終止信號。I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送11(2)數(shù)據(jù)幀格式)數(shù)據(jù)幀格式 I2C總線上傳送的數(shù)據(jù)信號是廣義的,既包括總線上傳送的數(shù)據(jù)信號是廣義的,既包括地址信號地址信號,又包括真正的又包括真正的數(shù)據(jù)信號數(shù)據(jù)信號。每次數(shù)據(jù)傳送每次數(shù)據(jù)傳送總是由主機(jī)產(chǎn)生的終止信號結(jié)束總是由主機(jī)產(chǎn)生的終止信號結(jié)束。但是,若。但是,若主機(jī)希望繼續(xù)占用總線進(jìn)行新的數(shù)據(jù)傳送,主機(jī)希望繼續(xù)占用總線進(jìn)行新的數(shù)據(jù)傳送,則則可以不產(chǎn)生可以不產(chǎn)生終止信號,終止信號,馬上馬上再次發(fā)出起始信號對另

11、一從機(jī)進(jìn)行尋址再次發(fā)出起始信號對另一從機(jī)進(jìn)行尋址。 I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送在起始信號后必須傳送一個從機(jī)的在起始信號后必須傳送一個從機(jī)的地址地址(7位位);第第8位位是數(shù)據(jù)的是數(shù)據(jù)的傳送方向位傳送方向位(R/W):用用“0”表示表示主機(jī)發(fā)送數(shù)據(jù)主機(jī)發(fā)送數(shù)據(jù)(T),),用用“1”表示表示主機(jī)接收數(shù)據(jù)主機(jī)接收數(shù)據(jù)(R)。)。12A、主機(jī)向從機(jī)發(fā)送數(shù)據(jù)主機(jī)向從機(jī)發(fā)送數(shù)據(jù),數(shù)據(jù),數(shù)據(jù)傳送方向傳送方向在整個傳送過程在整個傳送過程中中不變不變。有陰影部分表示數(shù)據(jù)由主機(jī)向從機(jī)傳送,無陰影部分則表示有陰影部分表示數(shù)據(jù)由主機(jī)向從機(jī)傳送,無陰影部分則表示數(shù)據(jù)由從機(jī)向主機(jī)傳送。數(shù)據(jù)由從機(jī)向主機(jī)傳送。A表示

12、應(yīng)答,表示應(yīng)答,/A表示非應(yīng)答表示非應(yīng)答(高電平)。(高電平)。S表示起始信號,表示起始信號,P表示終止信號。表示終止信號。 在總線的一次數(shù)據(jù)傳送過程中,可以有以下幾種組合方式在總線的一次數(shù)據(jù)傳送過程中,可以有以下幾種組合方式:I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送13B、主機(jī)、主機(jī)在第一個字節(jié)在第一個字節(jié)(尋址字節(jié)尋址字節(jié))后后,立即立即由從機(jī)讀數(shù)據(jù)。由從機(jī)讀數(shù)據(jù)。I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送 在從機(jī)產(chǎn)生響應(yīng)時,在從機(jī)產(chǎn)生響應(yīng)時,主機(jī)從發(fā)送變成接收,從機(jī)從接收主機(jī)從發(fā)送變成接收,從機(jī)從接收變成發(fā)送變成發(fā)送。之后,。之后,數(shù)據(jù)由從機(jī)發(fā)送,主機(jī)接收,每個應(yīng)答由數(shù)據(jù)由從機(jī)發(fā)送,主機(jī)接收,每個應(yīng)答

13、由主機(jī)產(chǎn)生主機(jī)產(chǎn)生,時鐘信號仍由主機(jī)產(chǎn)生時鐘信號仍由主機(jī)產(chǎn)生。若主機(jī)要終止本次傳輸,。若主機(jī)要終止本次傳輸,則發(fā)送一個非應(yīng)答信號則發(fā)送一個非應(yīng)答信號(A),接著主機(jī)產(chǎn)生停止條件。,接著主機(jī)產(chǎn)生停止條件。 C、在傳送過程中,當(dāng)需要、在傳送過程中,當(dāng)需要改變傳送方向改變傳送方向時時,起始信號,起始信號和和從從機(jī)地址都被重復(fù)產(chǎn)生一次,機(jī)地址都被重復(fù)產(chǎn)生一次,但但兩次讀兩次讀/寫方向位正好反相。寫方向位正好反相。14四、總線的尋址四、總線的尋址 I2C總線協(xié)議有明確的規(guī)定:采用總線協(xié)議有明確的規(guī)定:采用7位的尋址字節(jié)位的尋址字節(jié)(尋址字(尋址字節(jié)是起始信號后的第一個字節(jié))。節(jié)是起始信號后的第一個字節(jié))

14、。 (1)尋址字節(jié)的位定義)尋址字節(jié)的位定義 D7D1位組成從機(jī)的地址。位組成從機(jī)的地址。D0位是數(shù)據(jù)位是數(shù)據(jù)傳送方向位傳送方向位,為為“0”時表示時表示主機(jī)向從機(jī)寫數(shù)據(jù)主機(jī)向從機(jī)寫數(shù)據(jù),為,為“1”時表示時表示主機(jī)由從機(jī)主機(jī)由從機(jī)讀數(shù)據(jù)讀數(shù)據(jù)。I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送15主機(jī)發(fā)送地址時,總線上的每個主機(jī)發(fā)送地址時,總線上的每個從機(jī)從機(jī)都都將這將這7位地址碼與位地址碼與自己的地址進(jìn)行比較自己的地址進(jìn)行比較,如果相同,則認(rèn)為自己正被主機(jī),如果相同,則認(rèn)為自己正被主機(jī)尋址,根據(jù)尋址,根據(jù)R/W位將自己確定為發(fā)送器或接收器位將自己確定為發(fā)送器或接收器。I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送 如

15、一個從機(jī)的如一個從機(jī)的7位尋址位有位尋址位有4位是固定位,位是固定位,3位是可編程位是可編程位,這時僅能尋址位,這時僅能尋址8個同樣的器件,即可以有個同樣的器件,即可以有8個同樣的器個同樣的器件接入到該件接入到該I2C總線系統(tǒng)中??偩€系統(tǒng)中。 從機(jī)的地址由從機(jī)的地址由固定部分固定部分和和可編程部分可編程部分組成。在一個系統(tǒng)組成。在一個系統(tǒng)中可能希望接入多個相同的從機(jī),中可能希望接入多個相同的從機(jī),從機(jī)地址中可編程部分從機(jī)地址中可編程部分決定了可接入總線該類器件的最大數(shù)目決定了可接入總線該類器件的最大數(shù)目。16(2)尋址字節(jié)中的特殊地址)尋址字節(jié)中的特殊地址 固定地址編號固定地址編號0000和和

16、1111已被保留作為特殊用途。已被保留作為特殊用途。 I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送17 起始信號后的第一字節(jié)的起始信號后的第一字節(jié)的8位為位為“0000 0000”時,稱為時,稱為通用呼叫地址通用呼叫地址。通用呼叫地址的用意在第二字節(jié)中加以說。通用呼叫地址的用意在第二字節(jié)中加以說明。格式為:明。格式為: 第二字節(jié)為第二字節(jié)為 06H時,所有能響應(yīng)通用呼叫地址的從機(jī)器件時,所有能響應(yīng)通用呼叫地址的從機(jī)器件復(fù)位,并由硬件裝入從機(jī)地址的可編程部分。能響應(yīng)命令復(fù)位,并由硬件裝入從機(jī)地址的可編程部分。能響應(yīng)命令的從機(jī)器件復(fù)位時不拉低的從機(jī)器件復(fù)位時不拉低SDA和和SCL線,以免堵塞總線。線,以免堵

17、塞總線。第二字節(jié)為第二字節(jié)為 04H時,所有能響應(yīng)通用呼叫地址并通過硬件時,所有能響應(yīng)通用呼叫地址并通過硬件來定義其可編程地址的從機(jī)器件將鎖定地址中的可編程位來定義其可編程地址的從機(jī)器件將鎖定地址中的可編程位,但不進(jìn)行復(fù)位。,但不進(jìn)行復(fù)位。I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送18如果第二字節(jié)的方向位為如果第二字節(jié)的方向位為“1”,則這兩個字節(jié)命令稱為硬,則這兩個字節(jié)命令稱為硬件件通用呼叫命令通用呼叫命令。在這第二字節(jié)的在這第二字節(jié)的高高7位說明自己的地址位說明自己的地址。接在總線上的智。接在總線上的智能器件,如單片機(jī)或其他微處理器能識別這個地址,并與能器件,如單片機(jī)或其他微處理器能識別這個地址,

18、并與之傳送數(shù)據(jù)。硬件主器件作為從機(jī)使用時,也用這個地址之傳送數(shù)據(jù)。硬件主器件作為從機(jī)使用時,也用這個地址作為從機(jī)地址。格式為:作為從機(jī)地址。格式為: 在系統(tǒng)中另一種選擇可能是系統(tǒng)復(fù)位時在系統(tǒng)中另一種選擇可能是系統(tǒng)復(fù)位時硬件主機(jī)器件工作硬件主機(jī)器件工作在從機(jī)接收器方式在從機(jī)接收器方式,這時由系統(tǒng)中的主機(jī)先告訴硬件主機(jī),這時由系統(tǒng)中的主機(jī)先告訴硬件主機(jī)器件數(shù)據(jù)應(yīng)送往的從機(jī)器件地址,當(dāng)硬件主機(jī)器件要發(fā)送器件數(shù)據(jù)應(yīng)送往的從機(jī)器件地址,當(dāng)硬件主機(jī)器件要發(fā)送數(shù)據(jù)時就可以直接向指定從機(jī)器件發(fā)送數(shù)據(jù)了。數(shù)據(jù)時就可以直接向指定從機(jī)器件發(fā)送數(shù)據(jù)了。I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送19(3)起始字節(jié))起始字節(jié) 不

19、具備不具備I2C總線接口的單片機(jī),則必須總線接口的單片機(jī),則必須通過軟件不斷地檢通過軟件不斷地檢測總線測總線,以便及時地響應(yīng)總線的請求。單片機(jī)的速度與硬,以便及時地響應(yīng)總線的請求。單片機(jī)的速度與硬件接口器件的速度就出現(xiàn)了較大的差別,為此,件接口器件的速度就出現(xiàn)了較大的差別,為此,I2C總線總線上的數(shù)據(jù)傳送要由一個較長的起始過程加以引導(dǎo)上的數(shù)據(jù)傳送要由一個較長的起始過程加以引導(dǎo)。 起始字節(jié)是提供給起始字節(jié)是提供給沒有沒有I2C總線接口總線接口的單片機(jī)的單片機(jī)查詢查詢I2C總線總線時使用的特殊字節(jié)時使用的特殊字節(jié)。 I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送20 引導(dǎo)過程由引導(dǎo)過程由起始信號、起始字節(jié)、應(yīng)

20、答位、重復(fù)起起始信號、起始字節(jié)、應(yīng)答位、重復(fù)起始信號始信號(Sr)組成。)組成。請求訪問總線的主機(jī)發(fā)出起始信號后,發(fā)送起始字節(jié)(請求訪問總線的主機(jī)發(fā)出起始信號后,發(fā)送起始字節(jié)(0000 0001),另一個單片機(jī)可以用一個比較低的速率采),另一個單片機(jī)可以用一個比較低的速率采樣樣SDA線,直到檢測到起始字節(jié)中的線,直到檢測到起始字節(jié)中的7個個“0”中的一個中的一個為止。在檢測到為止。在檢測到SDA線上的高電平后,單片機(jī)就可以用線上的高電平后,單片機(jī)就可以用較高的采樣速率,以便尋找作為同步信號使用的第二個較高的采樣速率,以便尋找作為同步信號使用的第二個起始信號起始信號Sr。在起始信號后的應(yīng)答時鐘脈

21、沖僅僅是為了和總線所使用在起始信號后的應(yīng)答時鐘脈沖僅僅是為了和總線所使用的格式一致,并不要求器件在這個脈沖期間作應(yīng)答。的格式一致,并不要求器件在這個脈沖期間作應(yīng)答。I2C總線的數(shù)據(jù)傳送總線的數(shù)據(jù)傳送21I2C總線仲裁與時鐘發(fā)生總線仲裁與時鐘發(fā)生 在多主的通信系統(tǒng)中??偩€上有多個節(jié)點,它們都有自在多主的通信系統(tǒng)中??偩€上有多個節(jié)點,它們都有自己的尋址地址,可以己的尋址地址,可以作為從節(jié)點被別的節(jié)點訪問作為從節(jié)點被別的節(jié)點訪問,同時它,同時它們都可以們都可以作為主節(jié)點向其它的節(jié)點發(fā)送控制字節(jié)和傳送數(shù)作為主節(jié)點向其它的節(jié)點發(fā)送控制字節(jié)和傳送數(shù)據(jù)據(jù)。但是如果。但是如果有兩個或兩個以上的節(jié)點都向總線上發(fā)

22、送啟有兩個或兩個以上的節(jié)點都向總線上發(fā)送啟動信號并開始傳送數(shù)據(jù)動信號并開始傳送數(shù)據(jù),這樣就形成了,這樣就形成了沖突沖突。要解決這種。要解決這種沖突,就要進(jìn)行仲裁的判決,這就是沖突,就要進(jìn)行仲裁的判決,這就是I2C總線上的仲裁??偩€上的仲裁。 I2C總線上的仲裁分兩部分:總線上的仲裁分兩部分:SCL線的同步線的同步和和SDA線的仲裁線的仲裁。 22I2C總線仲裁與時鐘發(fā)生總線仲裁與時鐘發(fā)生 SCL線的同步線的同步(時鐘同步)(時鐘同步) SCL同步是由于總線具有同步是由于總線具有線線“與與”的邏輯功能,即的邏輯功能,即只要只要有一個節(jié)點發(fā)送低電平時,總線上就表現(xiàn)為低電平有一個節(jié)點發(fā)送低電平時,總

23、線上就表現(xiàn)為低電平。由于線由于線“與與”邏輯功能的原理,當(dāng)多個節(jié)點同時發(fā)送時鐘信號時,邏輯功能的原理,當(dāng)多個節(jié)點同時發(fā)送時鐘信號時,在總線上表現(xiàn)的是統(tǒng)一的時鐘信號。這就是在總線上表現(xiàn)的是統(tǒng)一的時鐘信號。這就是SCL的同步原理。的同步原理。 當(dāng)所有的節(jié)點都發(fā)當(dāng)所有的節(jié)點都發(fā)送高電平時,總線才能送高電平時,總線才能表現(xiàn)為高電平表現(xiàn)為高電平。23I2C總線仲裁與時鐘發(fā)生總線仲裁與時鐘發(fā)生 SDA仲裁仲裁 SDA線的仲裁也是建立在總線具有線的仲裁也是建立在總線具有線線“與與”邏輯功能邏輯功能的原理上的。的原理上的。 節(jié)點在發(fā)送節(jié)點在發(fā)送1位數(shù)據(jù)后,比較總線上所呈現(xiàn)的數(shù)據(jù)與位數(shù)據(jù)后,比較總線上所呈現(xiàn)的數(shù)

24、據(jù)與自己發(fā)送的是否一致。自己發(fā)送的是否一致。是,繼續(xù)發(fā)送;否則,退出競是,繼續(xù)發(fā)送;否則,退出競爭爭。 SDA線的仲裁可以保證線的仲裁可以保證I2C總線系統(tǒng)在多個主節(jié)點同時總線系統(tǒng)在多個主節(jié)點同時企圖控制總線時通信正常進(jìn)行并且數(shù)據(jù)不丟失。企圖控制總線時通信正常進(jìn)行并且數(shù)據(jù)不丟失。總線總線系統(tǒng)通過仲裁只允許一個主節(jié)點可以繼續(xù)占據(jù)總線系統(tǒng)通過仲裁只允許一個主節(jié)點可以繼續(xù)占據(jù)總線。24I2C總線仲裁與時鐘發(fā)生總線仲裁與時鐘發(fā)生仲裁過程仲裁過程DATA1和和DATA2分別是主節(jié)點向總線所發(fā)送的數(shù)據(jù)信號;分別是主節(jié)點向總線所發(fā)送的數(shù)據(jù)信號;SDA為總線為總線上所呈現(xiàn)的上所呈現(xiàn)的數(shù)據(jù)信號數(shù)據(jù)信號,SCL是總線是總線上所呈現(xiàn)的上所呈現(xiàn)的時時鐘信號鐘信號。25I2C總線仲裁與時鐘發(fā)生總線仲裁與時鐘發(fā)生仲裁過程仲裁過程當(dāng)主節(jié)點當(dāng)主節(jié)點1、2同時發(fā)送起始信號時,同時發(fā)送起始信號時,兩個主節(jié)點都發(fā)送兩個主節(jié)點都發(fā)送了高電平信號了高電平信號。這時總線上呈現(xiàn)的信號為高電平,。這時總線上呈現(xiàn)的信號為高電平,兩個主兩個主節(jié)點都檢測到總線上的信號與自己發(fā)送的信號相同,繼續(xù)節(jié)點都檢測到總線上的信號與自己發(fā)送的信號相同,繼續(xù)發(fā)送數(shù)據(jù)。發(fā)送數(shù)據(jù)。26I2C總線

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論