版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、 PAGE19 / NUMPAGES19基于FPGA的直流電動(dòng)機(jī)伺服系統(tǒng)設(shè)計(jì)摘 要:提出的直流電動(dòng)機(jī)伺服系統(tǒng)設(shè)計(jì)方案綜合了EDA技術(shù),單片機(jī)和模糊控制技術(shù),采用模糊比例算法,即大圍采用模糊控制,以提高系統(tǒng)的動(dòng)態(tài)響應(yīng)速度,在小圍采用比例控制,以提高系統(tǒng)的穩(wěn)態(tài)控制精度.試驗(yàn)證明:該系統(tǒng)細(xì)分精度高,可維護(hù)性強(qiáng),響應(yīng)速度快,控制效果理想.關(guān)鍵詞:FPGA;伺服系統(tǒng);模糊比例控制;PWM波1 引言隨著微控制進(jìn)入控制領(lǐng)域,以與新型的電力電子功率器件的不斷出現(xiàn),使得采用全控型的開(kāi)關(guān)功率元件進(jìn)行PWM控制方式為主流.這種控制方式很容易在微控制器中實(shí)現(xiàn),從而為直流電動(dòng)機(jī)控制數(shù)字化提供了契機(jī).傳統(tǒng)的模糊控制器控制
2、動(dòng)作欠細(xì)膩,穩(wěn)態(tài)精度欠佳.電動(dòng)機(jī)是一種旋轉(zhuǎn)式機(jī)器, HYPERLINK :/baike.baidu /image/d4239b3523df4a5190ef39e0它將電能轉(zhuǎn)變?yōu)镠YPERLINK :/baike.baidu /view/28598.htm機(jī)械能,它主要包括一個(gè)用以產(chǎn)生HYPERLINK :/baike.baidu /view/351.htm磁場(chǎng)的電磁鐵繞組或分布的HYPERLINK :/baike.baidu /view/1974573.htm定子繞組和一個(gè)旋轉(zhuǎn)HYPERLINK :/baike.baidu /view/303142.htm電樞或轉(zhuǎn)子,其導(dǎo)線中有HYPERLIN
3、K :/baike.baidu /view/10897.htm電流通過(guò)并受磁場(chǎng)的作用而使轉(zhuǎn)動(dòng),這些機(jī)器中有些類(lèi)型可作電動(dòng)機(jī)用,也可作HYPERLINK :/baike.baidu /view/54769.htm發(fā)電機(jī)用。它是將電能轉(zhuǎn)變?yōu)闄C(jī)械能的一種HYPERLINK :/baike.baidu /view/126147.htm機(jī)器。通常電動(dòng)機(jī)的作功部分作旋轉(zhuǎn)運(yùn)動(dòng),這種電動(dòng)機(jī)稱(chēng)為轉(zhuǎn)子電動(dòng)機(jī);也有作直線運(yùn)動(dòng)的,稱(chēng)為直線電動(dòng)機(jī)。電動(dòng)機(jī)能提供的HYPERLINK :/baike.baidu /view/44147.htm功率圍很大,從毫瓦級(jí)到萬(wàn)千瓦級(jí)。電動(dòng)機(jī)的使用和控制非常方便,具有自起動(dòng) 、HYPE
4、RLINK :/baike.baidu /view/72037.htm加速、制動(dòng)、反轉(zhuǎn)、掣住等能力,能滿(mǎn)足各種運(yùn)行要求;電動(dòng)機(jī)的HYPERLINK :/baike.baidu /view/3456760.htm工作效率較高,又沒(méi)有煙塵、氣味,不污染環(huán)境,噪聲也較小。由于它的一系列優(yōu)點(diǎn),所以在工農(nóng)業(yè)生產(chǎn)、交通運(yùn)輸、HYPERLINK :/baike.baidu /view/88679.htm國(guó)防、商業(yè)與家用電器、醫(yī)療電器設(shè)備等各方面廣泛應(yīng)用。而模糊比例控制(利用HYPERLINK :/baike.baidu /view/24364.htm模糊數(shù)學(xué)的基本思想和理論的控制方法。在傳統(tǒng)的控制領(lǐng)域里,控
5、制系統(tǒng)動(dòng)態(tài)模式的精確與否是影響控制優(yōu)劣的最主要關(guān)鍵,系統(tǒng)動(dòng)態(tài)的信息越詳細(xì),則越能達(dá)到精確控制的目的。然而,對(duì)于復(fù)雜的系統(tǒng),由于變量太多,往往難以正確的描述系統(tǒng)的動(dòng)態(tài),于是工程師便利用各種方法來(lái)簡(jiǎn)化系統(tǒng)動(dòng)態(tài),以達(dá)成控制的目的,但卻不盡理想。換言之,傳統(tǒng)的控制理論對(duì)于明確系統(tǒng)有強(qiáng)而有力的控制能力,但對(duì)于過(guò)于復(fù)雜或難以精確描述的系統(tǒng),則顯得無(wú)能為力了。因此便嘗試著以模糊數(shù)學(xué)來(lái)處理這些控制問(wèn)題。)將模糊控制和比例控制有效的結(jié)合,使控制器兼有兩者的優(yōu)點(diǎn).2 算法設(shè)計(jì)2.1 電機(jī)模型的建立直流電動(dòng)機(jī)空載轉(zhuǎn)速為4100r/min,減速比為1/160,額定電壓為56V,額定電流不大于12A,功率為500W.忽
6、略電樞電感與黏性阻尼系數(shù),以電樞電壓)(tua為輸入變量,電機(jī)轉(zhuǎn)速)(t為輸出變量的直流伺服電動(dòng)機(jī)的傳遞函數(shù)可簡(jiǎn)化為11)(+=sTKsHme式中,電動(dòng)機(jī)反電動(dòng)勢(shì)系數(shù)36041005660160=eK,機(jī)電時(shí)間常數(shù)msTm10=.以上推出的傳遞函數(shù)為電壓與角度的關(guān)系,所以應(yīng)在此傳遞函數(shù)基礎(chǔ)上再加一積分環(huán)節(jié),從而實(shí)現(xiàn)電樞電壓與角度的傳遞關(guān)系.22.2 模糊比例算法當(dāng)誤差小于1.2V時(shí),采用模糊控制.模糊控制采用單輸入,單輸出結(jié)構(gòu),即以誤差信號(hào)為輸入信號(hào),控制信號(hào)為輸出信號(hào).當(dāng)誤差大于1.2V時(shí),電機(jī)全速轉(zhuǎn)動(dòng).比例算法的控制函數(shù)為:)()(terrorKtup=式中pK比例系數(shù).比例算法控制器的作
7、用是成比例的反映控制系統(tǒng)的偏差信號(hào))(terror,偏差一旦產(chǎn)生,控制器立即產(chǎn)生控制作用,以減少偏差.采用微處理器,需引入數(shù)字比例控制,即以一系列采樣時(shí)刻點(diǎn)kT代表時(shí)間t,其中,T為采樣周期,k為采樣序號(hào).代入上式后變?yōu)?)()(1kTerrorKkTup=將T歸一化為1后,可將)(1kTu簡(jiǎn)記為)(1kU.這樣得到離散比例表達(dá)式:)()(1kerrorKkup=3 系統(tǒng)模型的建立在Simulink中建立的系統(tǒng)理論模型如圖1所示.對(duì)于模糊算法,由于只在大圍采用,而系統(tǒng)的細(xì)調(diào)則采用比例算法,因此為提高系統(tǒng)的響應(yīng)速度,未對(duì)模糊控制圍進(jìn)行分類(lèi),而是利用其控制思想,當(dāng)指令與反饋誤差大于一定值時(shí),電機(jī)全
8、速運(yùn)行.實(shí)際控制中,也體現(xiàn)了這種做法的優(yōu)點(diǎn).引入前饋算法,是為提高相應(yīng)速度,增加系統(tǒng)帶寬,實(shí)際控制也證明了這一點(diǎn).34 系統(tǒng)的硬件設(shè)計(jì)伺服器硬件電路主要由FPGA控制器,數(shù)據(jù)采集電路,過(guò)流保護(hù)電路,隔離電路,驅(qū)動(dòng)電路等組成.各個(gè)模塊在中央控制器FPGA的控制下協(xié)調(diào)工作.使用FPGA器件可以將原來(lái)的電路板級(jí)產(chǎn)品集成為芯片級(jí)產(chǎn)品,從而降低了功耗,提高了可靠性,同時(shí)還可以很方便的對(duì)設(shè)計(jì)進(jìn)行修改.本設(shè)計(jì)采用的可編程邏輯芯片為Altera公司的FLEX10K系列的EPF10K10LC84-4芯片,它具有高密度,低成本,低功耗,靈活的部連接和強(qiáng)大的I/O引腳功能等特點(diǎn).其硬件結(jié)構(gòu)如圖2所示:數(shù)據(jù)采集系統(tǒng)主
9、要由3個(gè)A/D傳感器組成,其中指令值和位置反饋值用AD1674進(jìn)行模/數(shù)轉(zhuǎn)換,電流值由ADC0809采樣得到.利用FPGA控制它的三條通道同時(shí)采樣,分別采集指令信號(hào),反饋信號(hào)和電流信號(hào).系統(tǒng)采用雙極可逆受限PWM波控制兩組NMOS電路驅(qū)動(dòng)直流電機(jī).根據(jù)兩組PWM波的占空比大小,控制電機(jī)的正反轉(zhuǎn).同時(shí),兩組PWM波通過(guò)設(shè)定適當(dāng)死區(qū),避免管子同時(shí)導(dǎo)通而導(dǎo)致電流過(guò)流發(fā)生的情況.電源系統(tǒng)需提供3組不共地電源,控制MOS管的導(dǎo)通和截至.本系統(tǒng)以3個(gè)DC/DC變換器作為隔離器件,產(chǎn)生3組幅值均為10V但不共地的電壓源.由FPGA產(chǎn)生的一路PWM波作為控制信號(hào),FPGA的另一路信號(hào)EN作為使能信號(hào),控制PW
10、M波的輸出.其硬件電路圖如圖3所示.輸出A路和C路PWM波控制一路MOS管的導(dǎo)通截至.輸出B路和D路PWM波控制另一路MOS管的導(dǎo)通與截至.同時(shí),兩路PWM波的死區(qū)時(shí)間控制也由此電路實(shí)現(xiàn).通過(guò)電路產(chǎn)生2組PWM波信號(hào),因此提高了電路的可靠性.而且,通過(guò)調(diào)整電阻和電容的值可以實(shí)現(xiàn)死區(qū)時(shí)間的改變.采用集成運(yùn)放組成電壓比較電路.4PWMEN3A6B8C11DIC5AIC5BIC5CIC5DIC6AIC6BIC6CIC6Dvcc10k10k10k10k10k1k1k10k10k10k10k1k1k0.01uF0.01uF圖 35 系統(tǒng)的軟件設(shè)計(jì)伺服驅(qū)動(dòng)器系統(tǒng)軟件能夠完成電機(jī)的正轉(zhuǎn),反轉(zhuǎn),加減速等控制功
11、能.在本系統(tǒng)中采用混合編輯法設(shè)計(jì)各個(gè)模塊,采用VHDL語(yǔ)音描述各模塊功能.所提出的伺服系統(tǒng)方案,已經(jīng)在康芯GW-48EDA試驗(yàn)箱中做了部分試驗(yàn).并對(duì)系統(tǒng)進(jìn)行了仿真.PWM波產(chǎn)生模塊的VHDL代碼如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bio_polor1 isport(ctr1:in std_logic_vector(7 downto 0);clk:in std_logic;pwm:out std_logic);end entity;architecture one o
12、f bio_polor1 isbeginprocess(clk)variablet:std_logic_vector(7 downto 0);beginif clkevent and clk=1 thenift=11111111thencnt:=00000000;elsift=ctr1 thenpwm=1;cnt:=cnt+1;else pwm=0;cnt:=cnt+1;end if;5end if;end process;end one;對(duì)其進(jìn)行仿真,得到的工作仿真波形如圖4和圖5所示:以上的仿真研究試驗(yàn)表明,在256個(gè)時(shí)鐘,控制輸入不變的話,輸出穩(wěn)定可靠,達(dá)到理想的效果.6 AD1674控
13、制模塊程序如下;偽定義ADCHEQU32H;采樣值高字節(jié)ADCLEQU31H;采樣值低字節(jié)ADCNUMEQU30H;采樣次數(shù)ADCSBITP1.5;AD7814片選ADSCLKBITP1.1;AD7814時(shí)鐘ADDOUTBITP1.7;AD7814數(shù)據(jù)輸出ADSCLBITP1.1;AD7416時(shí)鐘ADSDABITP1.7;AD7416數(shù)據(jù)I/O;程序初始化;AD7814的采樣參考程序SAMPLE78:MOVADCNUM, #8 ;采樣8次SE078:CLRADCS ;選中AD7814MOVR7, #16 ;產(chǎn)生16個(gè)取數(shù)脈沖MOVADCH, #0 ;A/D值高字節(jié)MOVADCL, #0 ;A/
14、D值低字節(jié)SE178:CLRADSCLKNOPNOPADDOUT, SE178SE378:CLRADSCLKNOPNOPMOVC, ADDOUTMOVA, ADCLRLCAMOVADCL, AMOVA, ADCHRLCAMOVADCH, ASETBADSCLKNOPNOPDJNZR7, SE378SETBADCS;進(jìn)行數(shù)據(jù)處理DJNZADCNUM, SE078RET;AD7416的采樣參考程序;AD7414、AD7415與AD7416相似,但地址不同。SAMPLE74:MOVADCNUM, #8 ;連續(xù)采樣8次SE074:MOVR6, #9EH ;片選AD7416的地址寫(xiě)操作MOVR5, #1
15、 ;選中配置寄存器MOVR4, #18H ;給配置寄存器賦值LCALLWRCOM ;三字節(jié)的寫(xiě)操作MOVR6,#10011110BMOVR5,#3 ;選中溫度上限寄存器MOVR4,#40H ;上限溫度=64LCALLWRCOMMOVR6,#10011110BMOVR5,#2 ;選中溫度下限寄存器MOVR4,#20H ;上限溫度=32LCALLWRCOMMOVR6,#10011110BMOVR5,#0 ;選中溫度寄存器MOVWREXE ;兩字節(jié)的寫(xiě)操作MOVDATA1,#10011111B ;片選AD7416,讀操作LCALLRDCOM ;數(shù)據(jù)處理DJNZADCNUM,SE074 ;采樣未完,返
16、回WRCOM:LCALLBEGIN ;三字節(jié)的寫(xiě)操作MOVDATA1,R6LCALLOUTBYTE ;輸出字節(jié)MOVDATA1,R5LCALLOUTBYTEMOVDATA1,R4LCALLOUTBYTELCALLSTOPRETWREXE:LCALLBEGIN ;兩字節(jié)的寫(xiě)操作MOVDATA1,R6LCALLOUTBYTEMOVDATA1,R5LCALLOUTBYTELCALLSTOPRETRDCOM:LCALLBEGIN ;讀操作LCALLOUTBYTELCALLINBYTE ;輸入字節(jié)MOVADCH,DATA1LCALLNACK ;MCU使ADSDA數(shù)據(jù)線變?yōu)榈碗娖絃CALLINBYTEMO
17、VADCL,DATA1LCALLACK ;MCU使ADSDA數(shù)據(jù)線變?yōu)楦唠娖絃CALLSTOPRETOUTBYTE:MOVR7,#8 ;輸出字節(jié)OE1:MOVA,DATA1RLCAMOVADSDA,CMOVDATA1,ALCALLCLOCKDJNZR7,OE1LCALLACK ;AD7416產(chǎn)生應(yīng)答RETINBYTE:SETBADSDA ;輸入字節(jié)MOVR7,#8INE1:LCALLCLOCKMOVA,DATA1RLCAMOVDATA1,ADJNZR7,INE1RETNACK:CLRADSDA ;AD7416無(wú)應(yīng)答LCALLCLOCK ;ADSDA數(shù)據(jù)線為0,一個(gè)時(shí)鐘脈沖之后,ADSDA為1R
18、ETACK:SETBADSDA ;AD7416有應(yīng)答LCALLCLOCK ;ADSDA為1,一個(gè)時(shí)鐘脈沖之后,ADSDA為0RETSTOP:CLRADSDA ;產(chǎn)生停止信號(hào)SETBADSCLNOPNOPNOPNOPSETBADSDARETBEGIN:SETBADSDA ;產(chǎn)生開(kāi)始信號(hào)SETBADSCLNOPNOPNOPNOPNOPCLRADSDANOPNOPNOPNOPCLRADSCLRETCLOCK:NOP ;產(chǎn)生時(shí)鐘脈沖SETBADSCLNOPNOPNOPNOPMOVC,ADSDACLRADSCLRET7 ADC0809控制模塊程序如下:COM EQU 50H ; 指令寄存器DAT EQU
19、 51H ; 數(shù)據(jù)寄存器RS EQU P2.1 ; LCD寄存器選擇信號(hào)RW EQU P2.2 ; LCD讀/寫(xiě)選擇信號(hào)E EQU P2.3 ; LCD使能信號(hào)ORG 0000HLJMP MAIN ;主程序入口ORG 000BHLJMP BT0;T0中斷入口ORG 0030H ;主程序,初始化MAIN: MOV SP,#60HLCALL INTMOV 30H,#30HMOV 31H,#0A5HMOV 32H,#30HMOV 33H,#30HMOV 34H,#30HMOV R7,#30HLCALL N1 ;顯示 200808588 ZPPVoltage = 0.000MOV TMOD,#00H;
20、定時(shí)器T0設(shè)為方式0MOV TH0,#00h;裝入定時(shí)常數(shù)MOV TL0,#00hSETB TR0;啟動(dòng)T0MOV 24h, #03h ;裝入T0中斷次數(shù)MOV IE,#82H ;開(kāi)中斷LP: MOV R7,#30H ;顯示緩沖區(qū)首地址LCALL DISPLYSJMP LP ;循環(huán)顯示DISPLY: mov ,#0CAH ;設(shè)置數(shù)據(jù)起始地址(第而行第10位) lcall pr1 mov r1,#05h mov r0,#30hl: MOV DAT,r0 LCALL PR2 INC R0 djnz r1,l ret;1. 逐字依次輸入方式演示程序段N1:MOV COM,#01H ;清屏 LCALL
21、 PR1 MOV COM,#06H ;設(shè)置輸入方式 LCALL PR1 MOV COM,#081H ;設(shè)置數(shù)據(jù)起始地址(第一行地二位) LCALL PR1 MOV DPTR,#TAB1 MOV R2,#0EH MOV R3,#00HWRIN1: MOV A,R3 MOVC A,A+DPTR MOV DAT,A LCALL PR2 INC R3 DJNZ R2,WRIN1 MOV COM,#0C1H ;設(shè)置數(shù)據(jù)起始地址(第二行地二位) LCALL PR1 MOV DPTR,#TAB2 MOV R2,#9 MOV R3,#00HWRIN2: MOV A,R3 MOVC A,A+DPTR MOV D
22、AT,A LCALL PR2 INC R3 DJNZ R2,WRIN2 RETTAB1: DB 200808588 ZPP TAB2: DB VOLTAGE= ; LCD間接控制方式下的初始化子程序INT:LCALL DELAY ; 調(diào)延時(shí)子程序MOV COM,#38H ; 設(shè)置工作方式(2行,8位數(shù)據(jù))LCALL PR1MOV COM,#01H ; 清屏LCALL PR1MOV COM,#06H ; 設(shè)置輸入方式LCALL PR1MOV COM,#0CH ; 設(shè)置顯示方式LCALL PR1RETDELAY:MOV R6,#0FH ; 延時(shí)子程序MOV R7,#00HDELAY1: NOPDJ
23、NZ R7,DELAY1DJNZ R6,DELAY1RET;LCD間接控制方式的驅(qū)動(dòng)子程序如下;1 讀BF和AC值PR0: PUSH ACC MOV P0,#0FFH ; P0置位, 準(zhǔn)備讀 CLR RS ; RS=0 SETB RW; R/W=1 SETB E ; E=1 LCALL DELAY MOV COM,P0 ; 讀BF和AC6-4值 CLR E ; E=0 POP ACC RET;2 寫(xiě)指令代碼子程序PR1: PUSH ACC CLR RS; RS=0 SETB RW ; R/W=1PR11:MOV P0,#0FFH; P0置位, 準(zhǔn)備讀 SETB E ; E=1 LCALL DE
24、LAY NOP MOV A,P0 CLR E ACC.7,PR11;BF=1? CLR RW; R/W=0 MOV P0,COM SETB E ; E=1 CLR E ; E=0; E=0 POP ACC RET;3 寫(xiě)顯示數(shù)據(jù)子程序PR2:PUSH ACCCLR RS ; RS=0SETB RW; R/W=1PR21:MOV P0,#0FFHSETB E ; E=1LCALL DELAYMOV A,P0 ; 讀BF和AC6-4值CLR E ; E=0 ACC.7,PR21SETB RSCLR RWMOV P0,DAT; 寫(xiě)入數(shù)據(jù)SETB ECLR EPOP ACCRET;4 讀顯示數(shù)據(jù)子程序
25、PR3:PUSH ACCCLR RS ; RS=0SETB RW; R/W=1PR31:MOV P0,#0FFH ; P0置位, 準(zhǔn)備讀SETB E ; E=1LCALL DELAYMOV A,P0 ; 讀BF和AC6-4值CLR E ; E=0 ACC.7,PR31SETB RSSETB RW; R/W=1MOV P0,#0FFH ; 讀數(shù)據(jù)SETB E ; E=1MOV DAT,P0CLR E ; E=0POP ACCRET; 定時(shí)器T0中斷服務(wù)程序,讀取ADC0809第0通道的A/D轉(zhuǎn)換結(jié)果并化為顯示值BT0:PUSH ACC ;保護(hù)現(xiàn)場(chǎng)PUSH PSWMOV PSW,#08HCLR TR0;停止T0MOV TH0,#00h ;重裝定時(shí)常數(shù)MOV TL0,#00huuMOV DPTR,#0F6FFH ;0809端口地址/MOV A,#0 ;0通道MOVX DPTR,A ;啟動(dòng)0809MOVX A,DPTR ;讀0809轉(zhuǎn)換結(jié)果MOV B,#03H ;將轉(zhuǎn)換的值除以3再累加,存入40H中DIV AB;ADD A,40H;/MOV 40H,AMOV A,B ;將除以3后的余數(shù)累加放入41H中ADD A,41H MOV 41H,Adec 24h ;3次
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- DB1331T 037-2023雄安新區(qū)綠色低碳社區(qū)評(píng)價(jià)標(biāo)準(zhǔn)
- 2024秋國(guó)家開(kāi)放大學(xué)《政治學(xué)原理》形考任務(wù)1-4參考答案
- 2024屆云南省通海二中高考押題卷(數(shù)學(xué)試題)試卷解析
- 2024屆四川省成都名校高三3月聯(lián)合調(diào)研考試數(shù)學(xué)試題
- 如何做課件初學(xué)者
- 1.4團(tuán)團(tuán)圓圓過(guò)中秋 (學(xué)案)部編版道德與法治二年級(jí)上冊(cè)
- 家庭教育案例課件
- 2024年瀘州道路旅客運(yùn)輸考卷
- 2024年綏化客運(yùn)資格證仿真試題
- 2024年濟(jì)南客運(yùn)資格證答題技巧
- 《發(fā)展?jié)h語(yǔ)》初級(jí)綜合(I)1-10課測(cè)試一
- 幻方普通構(gòu)造法
- 《現(xiàn)代社會(huì)調(diào)查方法》課件_7
- 微型消防站人員配備
- 甘肅省建筑工程施工圖審查要點(diǎn)(共155頁(yè))
- 一、身體姿態(tài)評(píng)估與糾正PPT課件(PPT 24頁(yè))
- 樣板引路工程施工方案(正弘瓴筑)
- 海瀾之家特許經(jīng)營(yíng)協(xié)議合同
- 大眾汽車(chē)入侵北美市場(chǎng)
- 網(wǎng)絡(luò)安全教育培訓(xùn)課件(共30頁(yè)).ppt
- 建設(shè)銀行員工勞動(dòng)合同
評(píng)論
0/150
提交評(píng)論