基于AT89S51單片機(jī)的單軸步進(jìn)電機(jī)控制器設(shè)計說明_第1頁
基于AT89S51單片機(jī)的單軸步進(jìn)電機(jī)控制器設(shè)計說明_第2頁
基于AT89S51單片機(jī)的單軸步進(jìn)電機(jī)控制器設(shè)計說明_第3頁
基于AT89S51單片機(jī)的單軸步進(jìn)電機(jī)控制器設(shè)計說明_第4頁
基于AT89S51單片機(jī)的單軸步進(jìn)電機(jī)控制器設(shè)計說明_第5頁
已閱讀5頁,還剩51頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、 PAGE51 / NUMPAGES56基于AT89S51單片機(jī)的單軸步進(jìn)電機(jī)控制器設(shè)計The Design of Single Axis Stepper Motor Controller Based on AT89S51 MCU目 錄 TOC o 1-3 h z u HYPERLINK l _Toc356996054摘要 PAGEREF _Toc356996054 h IHYPERLINK l _Toc356996055Abstract PAGEREF _Toc356996055 h IIHYPERLINK l _Toc356996056引言 PAGEREF _Toc356996056 h

2、1HYPERLINK l _Toc356996057第一章設(shè)計背景 PAGEREF _Toc356996057 h 2HYPERLINK l _Toc3569960581.1 步進(jìn)電機(jī)發(fā)展史 PAGEREF _Toc356996058 h 2HYPERLINK l _Toc3569960591.2 我國步進(jìn)電機(jī)的發(fā)展 PAGEREF _Toc356996059 h 2HYPERLINK l _Toc3569960601.3 步進(jìn)電機(jī)的發(fā)展趨勢 PAGEREF _Toc356996060 h 3HYPERLINK l _Toc356996061第二章方案設(shè)計 PAGEREF _Toc356996

3、061 h 4HYPERLINK l _Toc3569960622.1提出設(shè)計課題 PAGEREF _Toc356996062 h 4HYPERLINK l _Toc3569960632.2步進(jìn)電機(jī)的工作原理 PAGEREF _Toc356996063 h 5HYPERLINK l _Toc3569960642.3步進(jìn)電機(jī)的控制 PAGEREF _Toc356996064 h 6HYPERLINK l _Toc3569960652.3.1 步進(jìn)電機(jī)的驅(qū)動 PAGEREF _Toc356996065 h 6HYPERLINK l _Toc3569960662.3.2 步進(jìn)電機(jī)的調(diào)速 PAGERE

4、F _Toc356996066 h 9HYPERLINK l _Toc3569960672.3.3 步進(jìn)電機(jī)的開環(huán)控制 PAGEREF _Toc356996067 h 11HYPERLINK l _Toc3569960682.4 確定設(shè)計方案 PAGEREF _Toc356996068 h 11HYPERLINK l _Toc356996069第三章硬件設(shè)計 PAGEREF _Toc356996069 h 14HYPERLINK l _Toc3569960703.1 單片機(jī)最小系統(tǒng) PAGEREF _Toc356996070 h 14HYPERLINK l _Toc3569960713.2 步

5、進(jìn)電機(jī)驅(qū)動電路 PAGEREF _Toc356996071 h 14HYPERLINK l _Toc3569960723.3 顯示電路 PAGEREF _Toc356996072 h 19HYPERLINK l _Toc3569960733.4 獨(dú)立按鍵電路 PAGEREF _Toc356996073 h 21HYPERLINK l _Toc356996074第四章軟件設(shè)計 PAGEREF _Toc356996074 h 23HYPERLINK l _Toc3569960754.1 系統(tǒng)主程序 PAGEREF _Toc356996075 h 23HYPERLINK l _Toc35699607

6、64.2 系統(tǒng)初始化子程序 PAGEREF _Toc356996076 h 25HYPERLINK l _Toc3569960774.3 按鍵子程序 PAGEREF _Toc356996077 h 27HYPERLINK l _Toc3569960784.4 顯示子程序 PAGEREF _Toc356996078 h 30HYPERLINK l _Toc356996079第五章仿真調(diào)試 PAGEREF _Toc356996079 h 32HYPERLINK l _Toc356996080結(jié)論 PAGEREF _Toc356996080 h 33HYPERLINK l _Toc356996081

7、致 PAGEREF _Toc356996081 h 34HYPERLINK l _Toc356996082參考文獻(xiàn) PAGEREF _Toc356996082 h 35HYPERLINK l _Toc356996083附錄 PAGEREF _Toc356996083 h 36HYPERLINK l _Toc356996084附錄A:整體設(shè)計原理圖 PAGEREF _Toc356996084 h 36HYPERLINK l _Toc356996085附錄B:整體仿真圖 PAGEREF _Toc356996085 h 37HYPERLINK l _Toc356996086附錄C:C源程序代碼 PA

8、GEREF _Toc356996086 h 41基于AT89S51單片機(jī)的單軸步進(jìn)電機(jī)控制器設(shè)計摘要:本文闡述了步進(jìn)電動機(jī)的驅(qū)動、調(diào)速、開環(huán)控制的原理,并對步進(jìn)電機(jī)控制器進(jìn)行了設(shè)計。步進(jìn)電機(jī)是一種進(jìn)行精確步進(jìn)運(yùn)動的機(jī)電執(zhí)行元件,是將電 HYPERLINK :/ctc.qzs. /view/107414.htm t _blank 脈沖信號轉(zhuǎn)變?yōu)榻俏灰苹蚓€位移的開環(huán)控制元件。通過單片機(jī)對步進(jìn)電機(jī)的轉(zhuǎn)速進(jìn)行控制,在非超載的情況下,電機(jī)的轉(zhuǎn)速、停止的位置只取決于脈沖信號的頻率和脈沖數(shù),而不受負(fù)載變化的影響。這一現(xiàn)行關(guān)系的存在加上步進(jìn)電機(jī)只有周期性的誤差而無積累等特點(diǎn),使得在速度、位置等控制領(lǐng)域得到了廣

9、泛應(yīng)用。本設(shè)計中采用AT89S51型單片機(jī)、L297步進(jìn)電機(jī)專用控制器以與L298N驅(qū)動芯片組成步進(jìn)電機(jī)控制電路實(shí)現(xiàn)對步進(jìn)電機(jī)的控制。通過按鍵設(shè)置行程,由按鍵控制步進(jìn)電機(jī)正轉(zhuǎn)前進(jìn)、停止以與反轉(zhuǎn)復(fù)位,并可以由相應(yīng)按鍵控制步進(jìn)電機(jī)以加減速方式前進(jìn)和復(fù)位。再由液晶LCD1602顯示定位行程、轉(zhuǎn)動方向、當(dāng)前行程值和狀態(tài)。設(shè)計中主要使用Altium designer軟件繪制原理圖,使用Proteus軟件進(jìn)行程序代碼的仿真和功能的理論驗(yàn)證,從而完成對步進(jìn)電機(jī)控制器的設(shè)計。關(guān)鍵詞:AT89S51單片機(jī);L297;L298N;步進(jìn)電機(jī);LCD1602;仿真The Design of Single Axis S

10、tepper Motor Controller Based on AT89S51 MCUAbstract:This paper has described the control principle of the stepper motor speed, angle, torque, and made the corresponding design of the stepping motor controller. Stepper motor is a precision electromechanical stepping motion of the Executive Yuan, it

11、is the electric pulse signal into open-loop control components of angular displacement or linear displacement of the. Through the microcontroller to control the stepper motor speed, in the non-overload case, the motor speed, stop location only depends on the pulse signal frequency and pulse number,

12、regardless of load changes. Characteristics and only a periodic error of stepper motors without the accumulation of the current relationship, makes the speed, position and other areas controlled by stepper motor control becomes very simple. The design uses AT89S51 MCU, L297 step motor controller and

13、 L298N driver chip dedicated stepper motor control circuit to achieve the control of stepper motor. Traveling through the key, the keys control the stepper motor to move forward, stop and reverse reset, and by the corresponding buttons control the stepper motor acceleration and deceleration forward

14、and reset. The liquid crystal display LCD1602 positioning travel, rotating direction, the stroke value and status. Altium designer software is mainly used to draw schematic in the design, the theoretical simulation and functional verification code using the Proteus software, completed the design of

15、the stepper motor controller.Key words:AT89S51; L297; L298N; stepping motor; LCD1602; simulation引 言步進(jìn)電機(jī)是機(jī)電數(shù)字控制系統(tǒng)中常用的執(zhí)行元件,由于其精度高、體積小、控制方便靈活,因此在智能儀表和位置控制中得到了廣泛的應(yīng)用,大規(guī)模集成電路的發(fā)展以與單片機(jī)技術(shù)的迅速普與,為設(shè)計功能強(qiáng),價格低的步進(jìn)電機(jī)控制驅(qū)動器提供了先進(jìn)的技術(shù)和充足的資源。步進(jìn)電機(jī)驅(qū)動器,它是把控制系統(tǒng)發(fā)出的脈沖信號轉(zhuǎn)化為步進(jìn)電機(jī)的角位移,或者說控制系統(tǒng)每發(fā)一個脈沖信號,通過驅(qū)動器就使步進(jìn)電機(jī)旋轉(zhuǎn)一個步距角。也就是說步進(jìn)電機(jī)的轉(zhuǎn)速與

16、脈沖信號的頻率成正比。所以控制步進(jìn)脈沖信號的頻率,就可以對電機(jī)精確調(diào)速,控制步進(jìn)脈沖的個數(shù),就可以對電機(jī)精確定位。為使系統(tǒng)的可靠性、通用性、可維護(hù)性以與性價比最優(yōu),根據(jù)控制系統(tǒng)功能要求與步進(jìn)電機(jī)應(yīng)用環(huán)境,確定設(shè)計系統(tǒng)硬件和軟件的功能劃分,從而實(shí)現(xiàn)了基于8051單片機(jī)的四相步進(jìn)電機(jī)的開環(huán)控制系統(tǒng)??刂葡到y(tǒng)通過單片機(jī)存儲器、I/O接口、中斷、鍵盤、LCD顯示器的擴(kuò)展、步進(jìn)電機(jī)的環(huán)形分頻器、驅(qū)動與保護(hù)電路、人機(jī)接口電路、中斷系統(tǒng)與復(fù)位電路、等的設(shè)計,實(shí)現(xiàn)了步進(jìn)電機(jī)的正反轉(zhuǎn)、急停、定位等功能。隨著單片機(jī)技術(shù)的不斷發(fā)展,單片機(jī)在日用電子產(chǎn)品中的應(yīng)用越來越廣泛,六十年代初期以來,步進(jìn)電機(jī)的應(yīng)用得到很大的提

17、高。人們用它來驅(qū)動時鐘和其他采用指針的儀器,打印機(jī)、繪圖儀,磁盤光盤驅(qū)動器、各種自動控制閥、各種工具,還有機(jī)器人等機(jī)械裝置。伴隨著微電子和計算機(jī)技術(shù)的發(fā)展,它的需要量與日俱增,被廣泛應(yīng)用在各種自動化控制系統(tǒng)中,在各個國民經(jīng)濟(jì)領(lǐng)域發(fā)揮著不可或缺的作用。在現(xiàn)代科學(xué)技術(shù)的進(jìn)步以與現(xiàn)代工業(yè)的快速發(fā)展,數(shù)字控制技術(shù)得到了廣泛而深入的應(yīng)用。電機(jī)仍舊作為工業(yè)發(fā)展不可缺少的一大要素,扮演著重要的角色。電機(jī)的應(yīng)用不僅在動力應(yīng)用反面不斷擴(kuò)大,而且在控制領(lǐng)域的使用圍也在不斷擴(kuò)大。隨著控制電機(jī)重要性的增加,控制電機(jī)的使用量也逐年增加。其應(yīng)用主要以處理辦公業(yè)務(wù)能力很強(qiáng)的機(jī)器和工廠自動化機(jī)器為核心,并廣泛的應(yīng)用于醫(yī)療器械

18、、計量儀器、汽車、游戲機(jī)等方面。第一章 設(shè)計背景1.1步進(jìn)電機(jī)發(fā)展史步進(jìn)電機(jī)最早是在1920年由英國人所開發(fā)。1950年后期晶體管的發(fā)明也逐漸應(yīng)用在步進(jìn)電機(jī)上,這對于數(shù)字化的控制變得更為容易。此后,在自動交換機(jī)中廣泛使用了步進(jìn)電動機(jī)。不久又在缺乏交流電源的船舶和飛機(jī)等獨(dú)立系統(tǒng)中廣泛使用。20世紀(jì)60年代后期,隨著永磁性材料的發(fā)展,各種實(shí)用性步進(jìn)電動機(jī)應(yīng)運(yùn)而生,而半導(dǎo)體技術(shù)的發(fā)展推進(jìn)了步進(jìn)電動機(jī)在眾多領(lǐng)域的應(yīng)用。在近30年間,步進(jìn)電動機(jī)技術(shù)迅速地發(fā)展并成熟起來。從發(fā)展趨向來講,步進(jìn)電動機(jī)已經(jīng)能與直流電動機(jī)、異步電動機(jī),以與同步電動機(jī)并列,從而成為電動機(jī)的一種基本類型。以后經(jīng)過不斷改良,使得今日步

19、進(jìn)電機(jī)已廣泛運(yùn)用在需要高定位精度、高分解性能、高響應(yīng)性、信賴性等靈活控制性高的機(jī)械系統(tǒng)中。在生產(chǎn)過程中要求自動化、省人力、效率高的機(jī)器中,我們很容易發(fā)現(xiàn)步進(jìn)電機(jī)的蹤跡,尤其以重視速度、位置控制、需要精確操作各項指令動作的靈活控制性場合步進(jìn)電機(jī)用得最多。步進(jìn)電機(jī)的需求量與日俱增,在各個國民經(jīng)濟(jì)領(lǐng)域中扮演著越來越重要的角色。1.2我國步進(jìn)電機(jī)的發(fā)展我國開展步進(jìn)電機(jī)的研究工作相對較晚,上世紀(jì)50年代才開始對步進(jìn)電機(jī)進(jìn)行研究和制造,到目前為止已有六十多年。我國步進(jìn)電動機(jī)研究的初始階段,主要以高等院校的理論研究為主,隨著微電子技術(shù)和數(shù)字控制技術(shù)的快速發(fā)展,解決了長久以來限制步進(jìn)電動機(jī)大量應(yīng)用在工業(yè)自動化

20、中的關(guān)鍵問題制造優(yōu)質(zhì)的驅(qū)動控制電源,同時工業(yè)自動化系統(tǒng)本身的發(fā)展也不斷推進(jìn)步進(jìn)電動機(jī)的改進(jìn)以適應(yīng)工業(yè)應(yīng)用的要求。此時我國在步進(jìn)電動機(jī)研究方面生機(jī)勃勃,這一階段是我國步進(jìn)電機(jī)的研究和發(fā)展最為迅猛的階段。目前,對步進(jìn)電機(jī)在技術(shù)和生產(chǎn)應(yīng)用都已經(jīng)較為成熟。小型化、機(jī)電一體化、電機(jī)優(yōu)化設(shè)計、提高電機(jī)效率、降低生產(chǎn)成本等是這一階段乃至今后步進(jìn)電機(jī)發(fā)展的趨勢和方向。在我國,由于歷史緣由,磁阻式步進(jìn)電機(jī)還在一定的場合里使用,但混合式步進(jìn)電機(jī)在工業(yè)自動化系統(tǒng)中的優(yōu)勢越來越明顯,市場占有率隨之不斷提高。因此,開展混合式步進(jìn)電機(jī)的研究依然有其重要的意義。1.3步進(jìn)電機(jī)的發(fā)展趨勢步進(jìn)電機(jī)技術(shù),歷經(jīng)上世紀(jì)幾十年的發(fā)展,

21、已成為相對成熟的技術(shù)但隨著應(yīng)用圍的推廣和新工藝、新技術(shù)的不斷出現(xiàn),步進(jìn)電機(jī)技術(shù)仍然在不斷發(fā)展完善中。主要表現(xiàn)在步進(jìn)電機(jī)本身的改進(jìn)以與驅(qū)動技術(shù)的多樣化和精密化等幾個方面?,F(xiàn)階段,最有發(fā)展前景的當(dāng)屬混合式步進(jìn)電動機(jī),而混合式電動機(jī)又向以下四個方向發(fā)展:(1)小型化方向發(fā)展,隨著電動機(jī)本身應(yīng)用領(lǐng)域的拓寬以與各類整機(jī)的不斷小型化,要求與之配套的電動機(jī)也必須越來越小。(2)改圓形電動機(jī)為方形電動機(jī)。由于電動機(jī)采用方型結(jié)構(gòu),使得轉(zhuǎn)子有可能設(shè)計得比圓形大,因而其力矩體積比將大為提高。(3)一體化設(shè)計,即把轉(zhuǎn)子位置傳感器,減速齒輪等和電動機(jī)本體綜合設(shè)計在一起,這樣使其能方便地組成一個閉環(huán)系統(tǒng),因而具有更加優(yōu)越

22、的控制性能。(4)向五相和三相電動機(jī)方向發(fā)展,目前廣泛應(yīng)用的二相和四相電動機(jī),其旋轉(zhuǎn)磁場和電磁轉(zhuǎn)矩不完全對稱,振動和噪聲較大,而五相和三相電動機(jī)則是完全對稱的,因此更具有優(yōu)勢性。(5)步進(jìn)電機(jī)細(xì)分驅(qū)動技術(shù)的應(yīng)用。細(xì)分驅(qū)動是將電機(jī)繞組中的電流細(xì)分,由常規(guī)的矩形波供電改為階梯波供電。減少步距角,減少步進(jìn)誤差,即提高了分辨率和步距精度。再者,隨著網(wǎng)絡(luò)技術(shù)的深入發(fā)展,對步進(jìn)電機(jī)的研究也逐步從單機(jī)運(yùn)行轉(zhuǎn)向網(wǎng)絡(luò)化控制。如果將各種數(shù)控加工設(shè)備通過總線聯(lián)網(wǎng),構(gòu)成DNC系統(tǒng),實(shí)現(xiàn)集成化控制和管理,是步進(jìn)電機(jī)很有前途的發(fā)展方向之一。第二章 方案設(shè)計2.1提出設(shè)計課題步進(jìn)電機(jī)是將電脈沖信號變換成角位移或直線位移的執(zhí)

23、行部件。可以直接用數(shù)字信號驅(qū)動,使用非常方便。在沒有脈沖輸入時,在繞組電源的激勵下氣隙磁場能使轉(zhuǎn)子保持原有位置處于定位狀態(tài),因此非常適合于控制。步進(jìn)電機(jī)還具有快速啟動、精確步進(jìn)和定位等特點(diǎn),因而在數(shù)控機(jī)床、繪圖儀、打印機(jī)以與光學(xué)儀器中得到廣泛的應(yīng)用。在人類社會進(jìn)入電氣化時代的今天,傳統(tǒng)電動機(jī)已不能滿足工業(yè)自動化和辦公自動化等各種運(yùn)動控制系統(tǒng)的要求。一方面步進(jìn)電機(jī)的發(fā)展與計算機(jī)工業(yè)密切相關(guān)。自從步進(jìn)電機(jī)在計算機(jī)外圍設(shè)備上取代小型電動機(jī)以后,使其設(shè)備的性能提高,很快的促進(jìn)了步進(jìn)電機(jī)的發(fā)展。另一方面,微型計算機(jī)和數(shù)字控制技術(shù)的發(fā)展,又將為數(shù)控系統(tǒng)執(zhí)行部件的步進(jìn)電機(jī)推廣應(yīng)用到其他領(lǐng)域。為了進(jìn)一步研究步

24、進(jìn)電機(jī)的控制,對步進(jìn)電機(jī)控制原理以與驅(qū)動電路有更深的了解,通過單片機(jī)硬件與軟件的結(jié)合,提出設(shè)計一個簡單實(shí)用的步進(jìn)電機(jī)控制器。此步進(jìn)電機(jī)控制器應(yīng)具有以下相關(guān)功能和性能指標(biāo)。(1)按鍵設(shè)置步進(jìn)電機(jī)所要走的行程值(即線位),由顯示器直觀顯示出來,按下啟動鍵后步進(jìn)電機(jī)由原點(diǎn)前進(jìn)到達(dá)所需位置。步進(jìn)電機(jī)在前進(jìn)過程中已走的行程數(shù)值和前進(jìn)的狀態(tài)也需通過顯示電路實(shí)時動態(tài)顯示。(2)步進(jìn)電機(jī)在正向前進(jìn)過程中可以隨時停止,停止時顯示出當(dāng)前行程值。并可以隨時啟動前進(jìn)、復(fù)位返回原點(diǎn)。在到達(dá)指定位置后也能通過按鍵復(fù)位返回。(3)前進(jìn)后退是步進(jìn)電機(jī)控制器所必須具備的基本功能,設(shè)計中增加步進(jìn)電機(jī)加減速前進(jìn)以與復(fù)位功能,在步進(jìn)

25、電機(jī)啟動前進(jìn)、復(fù)位時和行進(jìn)過程中都可以加速復(fù)位以與減速前進(jìn),并到達(dá)指定位置。(4)此設(shè)計不僅需要對步進(jìn)電機(jī)驅(qū)動電路進(jìn)行設(shè)計,還需要有鍵盤以與相關(guān)的顯示電路,通過它們可以通過顯示器實(shí)時的觀察到步進(jìn)電機(jī)當(dāng)前的運(yùn)行狀態(tài),并可以實(shí)時地控制步進(jìn)電機(jī)?;诖松瞎δ芊治鎏岢鲈O(shè)計一個基于單片機(jī)的單軸步進(jìn)電機(jī)控制器。通過對步進(jìn)電機(jī)控制器的設(shè)計,可以更深刻地理解步進(jìn)電機(jī)的工作原理,而且由此設(shè)計我們可以舉一反三,對此類相關(guān)設(shè)計積累一定經(jīng)驗(yàn)。2.2步進(jìn)電機(jī)工作的原理步進(jìn)電機(jī)在結(jié)構(gòu)上是由定子和轉(zhuǎn)子組成,可以對旋轉(zhuǎn)角度和轉(zhuǎn)動速度進(jìn)行高精度控制。當(dāng)電流流過定子繞組時,定子繞組產(chǎn)生一個矢量磁場,該矢量場會帶動轉(zhuǎn)子旋轉(zhuǎn)一個角度

26、,使得轉(zhuǎn)子的一對磁極磁場方向與定子的磁場方向一著該磁場旋轉(zhuǎn)一個角度。因此,控制電機(jī)轉(zhuǎn)子旋轉(zhuǎn)實(shí)際上就是以一定的規(guī)律控制定子繞組的電流來產(chǎn)生旋轉(zhuǎn)的磁場。每來一個脈沖,轉(zhuǎn)子就旋轉(zhuǎn)一個步距角,稱為一步。根據(jù)脈沖的分配方式,步進(jìn)電機(jī)各相繞組的電流輪流切換,在供給連續(xù)脈沖時,就能一步一步地連續(xù)轉(zhuǎn)動,從而使電機(jī)旋轉(zhuǎn)。四相步進(jìn)電機(jī)如圖2.1所示。圖2.1 四相步進(jìn)電機(jī)該步進(jìn)電機(jī)為一四相步進(jìn)電機(jī),采用單極性直流電源供電。開關(guān)SB接通電源,其余斷開,B相磁極和轉(zhuǎn)子0、3號齒對齊,同時,轉(zhuǎn)子的1、4號齒就和C、D相繞組磁極產(chǎn)生錯齒,2、5號齒就和D、A相繞組磁極產(chǎn)生錯齒。當(dāng)開關(guān)SC接通電源,SB、SA、SD斷開時,

27、由于C相繞組的磁力線和1、4號齒之間磁力線的作用,使轉(zhuǎn)子轉(zhuǎn)動,1、4號齒和C相繞組的磁極對齊。而0、3號齒和A、B相繞組產(chǎn)生錯齒,2、5號齒就和A、D相繞組磁極產(chǎn)生錯齒。依次類推,A、B、C、D四相繞組輪流供電,則轉(zhuǎn)子會沿著A、B、C、D方向轉(zhuǎn)動。四相步進(jìn)電機(jī)按照通電順序的不同,可分為單四拍、雙四拍、八拍三種工作方式。單四拍與雙四拍的步距角相等,但單四拍的轉(zhuǎn)動力矩小。八拍工作方式的步距角是單四拍與雙四拍的一半,因此,八拍工作方式既可以保持較高的轉(zhuǎn)動力矩又可以提高控制精度。單雙八拍工作方式:A-AB-B-BC-C-CD-D-DA (即一個脈沖,轉(zhuǎn) 3.75度)八拍運(yùn)行時步距角為=360度/(50

28、*8)=0.9度(俗稱半步)。四相步進(jìn)電機(jī)單四拍、雙四拍與八拍工作方式的電源通電時序與波形分別如圖2.2所示。a 單四拍b雙四拍c八拍圖2.2 步進(jìn)電機(jī)工作時序圖通過對步進(jìn)電機(jī)的脈沖信號進(jìn)行控制,改變其頻率以與個數(shù)。每一個脈沖信號就可使步進(jìn)電機(jī)旋轉(zhuǎn)一個固定的角度.脈沖的數(shù)量決定了旋轉(zhuǎn)的總角度 ,脈沖的頻率決定了電機(jī)運(yùn)轉(zhuǎn)的速度.當(dāng)步進(jìn)驅(qū)動器接收到一個脈沖信號,它就驅(qū)動步進(jìn)電機(jī)按設(shè)定的方向轉(zhuǎn)動一個固定的角度(稱為“步距角”),它的旋轉(zhuǎn)是以固定的角度一步一步運(yùn)行的??梢酝ㄟ^控制脈沖個數(shù)來控制角位移量,從而達(dá)到準(zhǔn)確定位的目的;同時可以通過控制脈沖頻率來控制電機(jī)轉(zhuǎn)動的速度和加速度,從而達(dá)到調(diào)速的目的。2

29、.3步進(jìn)電機(jī)的控制2.3.1步進(jìn)電機(jī)的驅(qū)動本設(shè)計是基于單片機(jī)的單軸步進(jìn)電機(jī)控制器設(shè)計,所謂單軸步進(jìn)電機(jī)就是指控制一個步進(jìn)電機(jī)。步進(jìn)電機(jī)控制器設(shè)計主要是步進(jìn)電機(jī)驅(qū)動電路的設(shè)計。使用步進(jìn)電機(jī)時,只需要將單路電脈沖信號先通過脈沖分配器轉(zhuǎn)變?yōu)殡妱訖C(jī)所需求的多路(單路)脈沖信號,再經(jīng)過功率放大后分別送入步進(jìn)電機(jī)各相繞組,即可使步進(jìn)電動機(jī)準(zhǔn)確運(yùn)行。在正常情況下,步進(jìn)電機(jī)轉(zhuǎn)過的總角度和輸入的脈沖數(shù)成正比。由于步進(jìn)電機(jī)能直接接受數(shù)字量輸入,所以它特別適合于微機(jī)控制。由于微機(jī)控制系統(tǒng)的輸出脈沖電流往往都是毫安級的,而步進(jìn)電機(jī)的電子繞組需要很大的電流步進(jìn)電機(jī)控制脈沖電流才可以驅(qū)動其旋轉(zhuǎn),所以步進(jìn)電機(jī)不能直接接到直

30、流電源或者微機(jī)上工作,必須使用專用的步進(jìn)電機(jī)驅(qū)動電路來進(jìn)行驅(qū)動。步進(jìn)電機(jī)驅(qū)動電路一般由環(huán)形分配器、信號放大與處理級、推動級、驅(qū)動級等各部分組成,步進(jìn)電機(jī)的驅(qū)動器還要有多種保護(hù)電路。步進(jìn)電機(jī)驅(qū)動電路如圖2.3所示。驅(qū)動級推動級環(huán)形分配器信號放大與處理級保護(hù)級圖2.3 步進(jìn)電機(jī)驅(qū)動電路步進(jìn)電機(jī)接受來自控制器的CP脈沖,并按步進(jìn)電機(jī)狀態(tài)轉(zhuǎn)換表要求的狀態(tài)順序產(chǎn)生各相導(dǎo)通或截止的信號。每來一個CP脈沖,環(huán)形分配器的輸出轉(zhuǎn)換一次。因此步進(jìn)電機(jī)轉(zhuǎn)速的高低、升速或降速、啟動或停止都取決于CP脈沖的有無或頻率。同時環(huán)形分配器還必須接受控制器的方向信號,從而決定其輸出的狀態(tài)是按正序或者按逆序轉(zhuǎn)換,進(jìn)而決定步進(jìn)電機(jī)

31、的轉(zhuǎn)向。從環(huán)形分配器輸出的各相導(dǎo)通或截止的信號送入信號放大與處理級。信號放大的作用是將環(huán)分輸出信號加以放大,變成足夠大的信號送入推動級,這中間一般既需電壓放大,也需電流放大。信號處理是指實(shí)現(xiàn)信號的某些轉(zhuǎn)換、合成等功能,產(chǎn)生斬波、抑制等特殊功能的信號,從而產(chǎn)生特殊功能的驅(qū)動。本級還經(jīng)常與各種保護(hù)電路、各種控制電路組合在一起,形成較高性能的驅(qū)動輸出。推動級的作用是將較小的信號加以放大,變成足以推動驅(qū)動級輸入的較大信號。有時,推動級還承擔(dān)電平轉(zhuǎn)換的作用。保護(hù)級的作用是保護(hù)驅(qū)動級的安全。一般可根據(jù)需要設(shè)置過電流保護(hù)、過熱保護(hù)、過壓保護(hù)、欠壓保護(hù)等。有時還需要對輸入信號進(jìn)行監(jiān)護(hù),發(fā)現(xiàn)輸入異常時也可以提供

32、保護(hù)動作。驅(qū)動級直接與步進(jìn)電機(jī)各相繞組連接,它接受來自推動級的信號,控制電動機(jī)各相繞組的導(dǎo)通與截止,同時也繞組承受的電壓和電流進(jìn)行控制驅(qū)動級常見的驅(qū)動方式有單極性驅(qū)動和雙極性驅(qū)動等。單極性驅(qū)動電路包括有單電壓功率驅(qū)動電路、高低電壓功率驅(qū)動電路。這兩種驅(qū)動電路分別應(yīng)用于不同的步進(jìn)電機(jī)。下面介紹兩種驅(qū)動電路的原理以與工作方式。 (1)單電壓功率驅(qū)動電路:對于反應(yīng)式步進(jìn)電機(jī),繞組電流只要求向一個方流動,因此其驅(qū)動電路采用單極性驅(qū)動。單電壓驅(qū)動是指電動機(jī)繞組在工作時,只用一個電壓電源對繞組供電,它的特點(diǎn)是電路最簡單。步進(jìn)電機(jī)使用脈沖電源工作,脈沖電源的獲得可通過下圖說明,單電壓功率驅(qū)動電路如圖2.4所

33、示。圖2.4 單電壓功率驅(qū)動電路電動機(jī)繞組串有電阻RS,使繞組回路的時間常數(shù)減少,縮短了繞組中電流上升的過渡時間。RS還能緩解電動機(jī)的低頻共振現(xiàn)象。在單電壓驅(qū)動電路情況下,步進(jìn)電機(jī)單步相應(yīng)曲線如圖2.5所示。圖2.5 步進(jìn)電機(jī)單步響應(yīng)曲線圖中1不串電阻RS的響應(yīng)曲線,2是串電阻RS并調(diào)高電源電壓以保持繞組靜態(tài)電流一樣的響應(yīng)曲線,顯然曲線2比曲線1好。但由于RS引起了附加的損耗,故一般這種方法只適用于小功率步進(jìn)電機(jī)。(2)高低壓功率驅(qū)動電路:高低壓驅(qū)動的設(shè)計思想是,不論電機(jī)工作頻率如何,均利用高電壓Uh供電來提高導(dǎo)通相繞組的電流前沿,而在前沿過后,用低電壓Ul來維持繞組的電流。這一作用同樣改善了

34、驅(qū)動器的高頻性能,而且不必再串聯(lián)電阻RS,消除了附加損耗。高低壓驅(qū)動法是目前普遍應(yīng)用的一種方法,由于這種驅(qū)動在低頻時電流有較大的上沖,電動機(jī)低頻噪聲較大,低頻共振現(xiàn)象存在,使用時要注意。高低壓功率驅(qū)動電路如圖2.6所示。圖2.6 高低壓功率驅(qū)動電路(3)雙極性驅(qū)動電路:永磁式或混合式步進(jìn)電機(jī),由于工作時要求定子磁極的極性交變,所以通常要求其繞組由雙極性驅(qū)動電路驅(qū)動,即繞組電流能正、反向流動。由于雙極性橋式驅(qū)動電路較為復(fù)雜,所以過去僅用于大功率步進(jìn)電機(jī)。近年來出現(xiàn)了集成化的雙極性驅(qū)動芯片,它能方便地對采用雙極性驅(qū)動電路的步進(jìn)電機(jī)極性控制。如L298N雙H橋驅(qū)動器,是一款集成的高電壓、高電流、雙路

35、全橋式電動機(jī)驅(qū)動芯片。2.3.2步進(jìn)電機(jī)的調(diào)速要使步進(jìn)電機(jī)正常工作,必須按照該種電機(jī)的勵磁狀態(tài)轉(zhuǎn)換表所規(guī)定的狀態(tài)和順序一次對各相進(jìn)行通電或斷電控制。各相驅(qū)動信號來源于環(huán)形分配器。同時,由于電機(jī)有正反轉(zhuǎn)要求,所以這種環(huán)形分配器的輸出既是周期性的,又是可逆的。因此,環(huán)形分配器是一種特殊的可逆循環(huán)計數(shù)器。步進(jìn)電機(jī)驅(qū)動電源的環(huán)形分配器有硬件和軟件兩種形式。硬件環(huán)形分配器可分為集成觸發(fā)器型、專用集成電路芯片型等。集成元器件的使用,使環(huán)形分配器的體積大大縮小,可靠性和抗干擾能里提高,并具有較好的響應(yīng)速度,而且顯示直觀、維護(hù)方便。軟件環(huán)形分配器采用微機(jī)的軟件實(shí)現(xiàn)脈沖分配,因此它往往受到微機(jī)運(yùn)算速度的限制,有

36、時難以滿足高速實(shí)時控制的要求。(1)專用集成電路芯片型環(huán)形分配器:集成觸發(fā)器型環(huán)形分配器的硬件電路復(fù)雜,使用較少。目前使用廣泛的是專用集成電路芯片環(huán)形分配器,如CH250和L297等。其中,CH250專用于三相步進(jìn)電機(jī)有A、B、C三個輸出端,當(dāng)輸入端CL或EN加上時鐘脈沖后,其輸出電流能力為0.5mA,經(jīng)推動級、驅(qū)動級放大后即可驅(qū)動電機(jī)繞組。CH250如圖2.7所示。圖2.7 CH250(2)軟件環(huán)形分配器:出了采用硬件環(huán)形分配器外,在微機(jī)系統(tǒng)資源與任務(wù)允許的情況下,還可以采用軟件環(huán)形分配器脈沖的方法。在這種方法中,脈沖分配器的功能全部由軟件來完成。一次循環(huán)輸出驅(qū)動三相反應(yīng)式步進(jìn)電機(jī)所需的六個

37、狀態(tài):A-AB-B-BC-C-CA,這樣,三相步進(jìn)電機(jī)就進(jìn)入了三相六拍的運(yùn)行狀態(tài)。軟件環(huán)形分配器如圖2.8所示。步進(jìn)電機(jī) P1.0 P1.1 P1.28051A 功率驅(qū)動B 接口電路C圖2.8 軟件環(huán)形分配器采用這種純軟件方法,需要在微機(jī)的程序存儲器中開辟一個存儲空間以存放這6種狀態(tài),形成一狀態(tài)表。控制系統(tǒng)的應(yīng)用軟件按照電動機(jī)正、反轉(zhuǎn)的要求,順序?qū)顟B(tài)表的容取出來送至8051的P1口。對于步進(jìn)電機(jī)調(diào)速,實(shí)際上就是控制系統(tǒng)發(fā)出CP脈沖的頻率或者換相的周期??刂葡到y(tǒng)可用兩種方法確定CP脈沖的周期,一種是軟件延時法,另一種是定時器延時法。(1)軟件延時法:這種方法是在每次換相之后,調(diào)用一個延時子程序

38、,延時結(jié)束后再次執(zhí)行換相。這樣周而復(fù)始,即可發(fā)出一定頻率的CP脈沖,從而控制步進(jìn)電機(jī)按照某一確定的轉(zhuǎn)速運(yùn)轉(zhuǎn)??梢杂嬎愕贸?,延時子程序的延時時間與換相子程序所用時間的和即是CP脈沖的周期,也是步進(jìn)電機(jī)的步進(jìn)頻率的倒數(shù)。這種方法的有點(diǎn)是程序簡單,占用片資源少,全部由軟件實(shí)現(xiàn),且調(diào)用不同的延時子程序就可以實(shí)現(xiàn)不同的速度運(yùn)行。缺點(diǎn)是占用CPU時間太多,不能在運(yùn)行中處理其他的工作,顯然,這種方法雖然簡單,但也只能在較的控制過程中采用。(2)定時器延時法:微機(jī)系統(tǒng)一般均帶有幾個定時器、計數(shù)器。在步進(jìn)電機(jī)的轉(zhuǎn)速控制中,可利用其中某個定時器加載適當(dāng)?shù)亩〞r值,經(jīng)過一定的時間,定時器溢出,產(chǎn)生中斷信號,暫停主程序

39、的執(zhí)行,轉(zhuǎn)而執(zhí)行定時器中斷服務(wù)程序,于是產(chǎn)生硬件延時效果。若將步進(jìn)電機(jī)換相子程序放在定時器中斷服務(wù)程序之中,則定時器每中斷一次,電動機(jī)就換相一次,定時器定時的大小就決定了電動機(jī)換相的頻率,從而可實(shí)現(xiàn)電動機(jī)的速度控制。當(dāng)然,對于高精度要求的轉(zhuǎn)速控制場合,只考慮定時器的定時值是不夠的,還要考慮諸如加載定時器、開關(guān)定時器、中斷響應(yīng)等待時間、中斷響應(yīng)進(jìn)出時間等對轉(zhuǎn)速的影響,從而對定時器的定時值進(jìn)行合理的修正。2.3.3步進(jìn)電機(jī)的開環(huán)控制對步進(jìn)電機(jī)進(jìn)行微機(jī)定位控制,有開環(huán)控制和閉環(huán)控制兩類。開環(huán)控制時沒有位置檢測反饋,不需要光電編碼器之類的位置傳感器,因此控制系統(tǒng)的價格比較便宜。但是為了保證定位不出錯,

40、設(shè)計系統(tǒng)時要留出足夠的裕度。這就是說,電動機(jī)的機(jī)械負(fù)載不能太重。因?yàn)橐坏┴?fù)載短時超重而導(dǎo)致步進(jìn)電機(jī)失步,則整個機(jī)電傳動系統(tǒng)的定給就會出錯。步進(jìn)電機(jī)開環(huán)控制如圖2.9所示。工作臺步進(jìn)電機(jī)功率驅(qū)動器微機(jī)指令圖2.9 步進(jìn)電機(jī)開環(huán)控制2.4確定設(shè)計方案由前面對與步進(jìn)電機(jī)的工作原理、驅(qū)動、調(diào)速、開環(huán)控制等的介紹,針對所提出的設(shè)計問題,確定相關(guān)的設(shè)計方案。步進(jìn)電機(jī)控制器設(shè)計主要在于步進(jìn)電機(jī)驅(qū)動電路以與調(diào)速的設(shè)計,輔以相關(guān)的按鍵和顯示電路。步進(jìn)電機(jī)控制是一個比較精確的控制,步進(jìn)電機(jī)開環(huán)控制系統(tǒng)具有成本低、簡單、控制方便等優(yōu)點(diǎn),在采用單片機(jī)的步進(jìn)電機(jī)開環(huán)系統(tǒng)中,控制系統(tǒng)的CP脈沖的頻率或者換向周期實(shí)際上就是

41、控制步進(jìn)電機(jī)的運(yùn)行速度。系統(tǒng)可用兩種辦法實(shí)現(xiàn)步進(jìn)電機(jī)的速度控制。一種是延時,一種是定時。 步進(jìn)電機(jī)的驅(qū)動一般有兩種方法,一種是通過CPU直接來驅(qū)動,這種方法一般不宜采用,因?yàn)镃PU的輸出電流脈沖是特別小的它不能足以讓步進(jìn)電機(jī)的轉(zhuǎn)動。另一種是通過專用的驅(qū)動電路進(jìn)行驅(qū)動,由前面的介紹可知步進(jìn)電機(jī)的驅(qū)動方式有單極性驅(qū)動和雙極性驅(qū)動。單極性驅(qū)動適用于反應(yīng)式步進(jìn)電機(jī),雙極性驅(qū)動適用于永磁式和混合式步進(jìn)電機(jī)。常用的集成化驅(qū)動芯片有L298N、ULN2003等。步進(jìn)電機(jī)的脈沖分配有軟件環(huán)形分配器和硬件環(huán)形分配器兩種形式。軟件環(huán)形分配器是通過單片機(jī)部的定時器改變CP脈沖的頻率從而實(shí)現(xiàn)對步進(jìn)電機(jī)的轉(zhuǎn)速控制,實(shí)現(xiàn)

42、調(diào)速、快速起停、正反轉(zhuǎn)控制等。再者步進(jìn)電機(jī)的運(yùn)行狀態(tài)應(yīng)有響應(yīng)的顯示電路進(jìn)行顯示,且可以通過不同的按鍵控制步進(jìn)電機(jī)狀態(tài)的改變。由上述闡述可知步進(jìn)電機(jī)控制設(shè)計有多種不同的設(shè)計思路和不同的方案可供選擇。簡述三種設(shè)計方案并從中選擇出適合的方案,再進(jìn)行硬件以與軟件的設(shè)計。 (1)方案一:單片機(jī)選擇AT89S51型單片機(jī),步進(jìn)電機(jī)的驅(qū)動選擇L298N集成驅(qū)動芯片,顯示電路選擇七段數(shù)碼管,再輔以相應(yīng)的按鍵電路。步進(jìn)電機(jī)的脈沖使用軟件環(huán)形分配的形式。此設(shè)計方案通過單片機(jī)部的定時器改變CP脈沖輸入各相的順序從而改變步進(jìn)電機(jī)的正反轉(zhuǎn)、起停。通過改變控制系統(tǒng)發(fā)出CP脈沖的頻率或者換相的周期就可以改變步進(jìn)電機(jī)運(yùn)行的速

43、度。外部的按鍵可以控制步進(jìn)電機(jī)狀態(tài)的改變,并通過數(shù)碼管顯示出來。(2)方案二:步進(jìn)電機(jī)驅(qū)動芯片選擇L298N集成驅(qū)動芯片,顯示電路選擇液晶LCD1602,加上相應(yīng)的按鍵電路。此設(shè)計方案中顯示換成了LCD1602, LCD1602液晶也叫1602字符型液晶,它是一種專門用來顯示字母、數(shù)字、符號等的點(diǎn)陣型液晶模塊。LCD1602是指顯示的容為16*2,即可以顯示兩行,每行16個字符液晶模塊。LCD1602可以顯示更多的容,而且比較直觀。脈沖分配仍舊通過軟件環(huán)形分配的形式實(shí)現(xiàn)。(3)方案三:此設(shè)計方案選擇硬件環(huán)形分配的形式,硬件環(huán)形分配器選擇L297集成芯片,L297是步進(jìn)電機(jī)專用控制器,能產(chǎn)生4相

44、控制信號。可用于微機(jī)控制的兩相雙極和四相單極步進(jìn)電機(jī)。能夠用單四拍、雙四拍、四相八拍方式控制步進(jìn)電機(jī)。顯示電路選用LCD1602,實(shí)時顯示步進(jìn)電機(jī)的工作狀態(tài)。并輔以相關(guān)的按鍵電路對步進(jìn)電機(jī)進(jìn)行實(shí)時控制。由于設(shè)計是針對步進(jìn)電機(jī)的控制器設(shè)計,在顯示電路實(shí)時顯示的是步進(jìn)電機(jī)所走的直線位移,即把回轉(zhuǎn)運(yùn)動通過計算轉(zhuǎn)變成直線位移量進(jìn)行顯示。這樣更加方便直觀。通過對比上面三種設(shè)計方案,方案一中選用軟件環(huán)形分配器的形式,但由于這種方法占用了微機(jī)控制系統(tǒng)的大部分時間與精力,所以只能用于微機(jī)系統(tǒng)資源比較寬松且微機(jī)控制任務(wù)不是很繁重的系統(tǒng)中。而且數(shù)碼管顯示電路所能顯示的容比較單一。方案二選用了LCD1602液晶顯示

45、,可是顯示較多的容,比較直觀,但是仍舊是軟件環(huán)形分配器的形式。方案三中選用了L297硬件集成環(huán)形分配器,可以產(chǎn)生四相驅(qū)動信號控制步進(jìn)電機(jī)。顯示電路則選用了LCD1602使顯示容更加豐富。比較上面三種設(shè)計方案不難發(fā)現(xiàn)方案三更加簡單合理,方案三的硬件環(huán)形分配器減少了占用微機(jī)系統(tǒng)資源,液晶顯示也能顯示更豐富的容,于是選擇方案三作為本次課題的方案設(shè)計。根據(jù)設(shè)計方案畫出整體設(shè)計的框圖,整體框圖如圖2.10所示。 單 片 機(jī) 顯示電路電源電路 鍵盤電路 時鐘電路 驅(qū)動電路 步進(jìn)電機(jī) 復(fù)位電路圖2.10 整體框圖第三章 硬件設(shè)計3.1單片機(jī)最小系統(tǒng)單片機(jī)最小系統(tǒng)作為基于單片機(jī)設(shè)計所必須的,也是整個控制系統(tǒng)的

46、核心。單片機(jī)最小系統(tǒng)包括晶振電路、復(fù)位電路、電源電路。其中晶振電路為單片機(jī)工作提供時鐘信號;復(fù)位電路是單片機(jī)復(fù)位程序重新開始執(zhí)行;電源電路為單片機(jī)提供電源。在最小系統(tǒng)中EA/VPP引腳接高電平,CPU訪問部存儲器的指令。單片機(jī)最小系統(tǒng)如圖3.1所示。圖3.1 單片機(jī)最小系統(tǒng)3.2步進(jìn)電機(jī)驅(qū)動電路 設(shè)計中采用L298N作為步進(jìn)電機(jī)的驅(qū)動芯片,其為步進(jìn)電機(jī)專用的驅(qū)動芯片部采用雙極性驅(qū)動電路來驅(qū)動步進(jìn)電機(jī)工作。其中用12V電源來驅(qū)動步進(jìn)電機(jī),L297作為硬件環(huán)形分配器,設(shè)計中采用的是57BYG007型四相步進(jìn)電機(jī),工作方式為四相八拍。L298N是ST公司生產(chǎn)的一種高電壓、大電流電機(jī)驅(qū)動芯片。該芯片采

47、用15腳封裝。主要特點(diǎn)是:工作電壓高,最高工作電壓可達(dá)46V;輸出電流大,瞬間峰值電流可達(dá)3A,持續(xù)工作電流為2A;額定功率25W。含兩個H橋的高電壓大電流全橋式驅(qū)動器,可以用來驅(qū)動直流電動機(jī)和步進(jìn)電動機(jī)、繼電器線圈等感性負(fù)載;采用標(biāo)準(zhǔn)邏輯電平信號控制;具有兩個使能控制端,在不受輸入信號影響的情況下允許或禁止器件工作有一個邏輯電源輸入端,使部邏輯電路部分在低電壓下工作;可以外接檢測電阻,將變化量反饋給控制電路。使用L298N芯片驅(qū)動電機(jī),該芯片可以驅(qū)動一臺兩相步進(jìn)電機(jī)或四相步進(jìn)電機(jī)。L298N芯片引腳如圖3.2所示。圖3.2 L298N芯片引腳其中VS為步進(jìn)電機(jī)供電設(shè)計中接12V,VSS為芯片

48、工作電源接5V,OUT1-OUT4為輸出接步進(jìn)電機(jī)四相繞組。IN1-IN4輸入控制電位來控制電機(jī)的正反轉(zhuǎn),ENA,ENB為使能控制端則控制電機(jī)停轉(zhuǎn)。L297是意大利SGS半導(dǎo)體公司生產(chǎn)的步進(jìn)電機(jī)專用控制器,它能產(chǎn)生4相控制信號,部集成電路采用模擬/數(shù)字兼容的I2L技術(shù),使用5V的電源電壓。L297芯片引腳如圖3.3所示。圖3.3 L297芯片引腳L297的部變換器是一個重要組成部分。變換器由一個三倍計算器加某些組合邏輯電路組成,產(chǎn)生一個基本的八格雷碼。由變換器產(chǎn)生4個輸出信號送給后面的輸出邏輯部分,輸出邏輯提供禁止和斬波器功能所需的相序。為了獲得電動機(jī)良好的速度和轉(zhuǎn)矩特性,相序信號是通過2個P

49、WM斬波器控制電動波器包含有一個比較器、一個觸發(fā)器和一個外部檢測電阻,晶片部的通用振蕩器提供斬波頻率脈沖。每個斬波器的觸發(fā)器由振蕩器的脈沖調(diào)節(jié),當(dāng)負(fù)載電流提高時檢測電阻上的電壓相對提高,當(dāng)電壓達(dá)到Uref時(Uref是根據(jù)峰值負(fù)載電流而定的),將觸發(fā)器重置,切斷輸出,直至第二個振蕩脈沖到來、此線路的輸出(即觸發(fā)器Q輸出)是一恒定速率的PWM信號,L297的CONTROL端的輸入決定斬波器對相位線A、B、C、D或抑制線INH1和INH2起作用。CONTROL為高電平時,對A、B、C、D有抑制作用;為低電平時,則對抑制線INH1和INH2有抑制作用,從而可對電動機(jī)和轉(zhuǎn)矩進(jìn)行控制。對L297多使用到

50、的各個引腳功能進(jìn)行說明:(1)4、6、7、9引腳為四相驅(qū)動輸出信號。(2)5、8引腳控制A相和B相的驅(qū)動極。當(dāng)此引腳為低電平時,A相、B相驅(qū)動控制被禁止;當(dāng)線圈級斷電時,雙極性橋用這個信號使負(fù)載電源快速衰減。若CONTROL端輸入是低電平時,用斬波器調(diào)節(jié)負(fù)載電流。C相、D相繞組電流檢測電壓反饋輸入端。(3)14、13引腳為A、B、C、D相繞組電流檢測電壓反饋輸入端。復(fù)位輸入端。此引腳輸入負(fù)脈沖時,變換器恢復(fù)初始狀態(tài) (ABCD=0101)。(4)20引腳為復(fù)位輸入端。此引腳輸入負(fù)脈沖時,變換器恢復(fù)初始狀態(tài) (ABCD=0101)。(5)15引腳為斬波器基準(zhǔn)電壓輸入端。加到此引腳的電壓決定繞組電

51、流的峰值。(6)10引腳為L297的使能輸入端。當(dāng)它為低電平時,INH1、INH2、A、B、C、D都為低電平。當(dāng)系統(tǒng)被復(fù)位時用來阻止電機(jī)驅(qū)動。(7)17引腳為方向控制端。步進(jìn)電機(jī)實(shí)際旋轉(zhuǎn)方向由繞組的連接方法決定。當(dāng)改變此引腳的電平狀態(tài)時,步進(jìn)電機(jī)反向旋轉(zhuǎn)。(8)18引腳(CLOCK)步進(jìn)時鐘輸入端。該引腳輸入負(fù)脈沖時步進(jìn)電機(jī)向前步進(jìn)一個增量,該步進(jìn)是在信號的上升沿產(chǎn)生。(9)19引腳為半步、全步方式 選擇端。此引腳輸入高電平時為半步方式(四相八拍),低電平時為全步方式。如選擇全步方式時變換器在奇數(shù)狀態(tài),會得到單相工作方式(單四拍)。在本次設(shè)計中選用的步進(jìn)電機(jī)型號為57BYG007,其為混合式步

52、進(jìn)電機(jī)。整步運(yùn)行時步距角為1.8度,半步運(yùn)行時步距角為0.9度。設(shè)計中把步進(jìn)電機(jī)的回轉(zhuǎn)運(yùn)動轉(zhuǎn)換成直線位移。步進(jìn)電機(jī)軸直徑為6.35mm,軸周長約為20mm。采用單雙八拍工作方式:A-AB-B-BC-C-CD-D-DA (即一個脈沖,轉(zhuǎn) 3.75度)八拍運(yùn)行時步距角為=360度/(50*8)=0.9度(俗稱半步),其中50為步進(jìn)電機(jī)轉(zhuǎn)子的齒數(shù)。這樣給步進(jìn)電機(jī)一個信號脈沖步進(jìn)電機(jī)就轉(zhuǎn)過0.9度,轉(zhuǎn)動一周即360度需要400個脈沖,通過計算則位移1mm則需要20個脈沖值。步進(jìn)電機(jī)外型如圖3.4所示。圖3.4 步進(jìn)電機(jī)外型設(shè)計當(dāng)中所使用的為57BYG007型四相步進(jìn)電機(jī),步進(jìn)電機(jī)技術(shù)參數(shù)如表3.1所示

53、。表3.1 步進(jìn)電機(jī)技術(shù)參數(shù)型號相數(shù)電壓電流電阻電感靜轉(zhuǎn)矩機(jī)身長出軸長重量單位VAmHKg.cmmmmmKg57BYG4121.532303.541210.45由以上分析知設(shè)計中使用了L297與L298N共同組成的步進(jìn)電機(jī)驅(qū)動電路,由于步進(jìn)電機(jī)在工作中需要正轉(zhuǎn)反轉(zhuǎn)等工作方式,設(shè)計中還應(yīng)該加上保護(hù)電路以免電機(jī)正反轉(zhuǎn)交替時產(chǎn)生的感應(yīng)電流對電路產(chǎn)生危害。L297加L298N驅(qū)動器組成的步進(jìn)電機(jī)控制器電路具有以下優(yōu)點(diǎn):使用元件少,組件的損耗低,可靠性高,體積小,軟件開發(fā)簡單,并且單片機(jī)硬件費(fèi)用大大減少。L297與L298N配合使用控制雙極性步進(jìn)電機(jī)工作電流可大2.5A。L297的特性是只需要時鐘。方向

54、和模式輸入信號。因此可以減輕單片機(jī)和程序設(shè)計的負(fù)擔(dān)。步進(jìn)電機(jī)驅(qū)動電路中L297芯片的EN、CW/CCW、CLK、HALF/FULL引腳分別接到單片機(jī)的P1.0-P1.2四個并行I/O口上。通過P1.0-P1.2發(fā)出高低電平信號使L297產(chǎn)生步進(jìn)電機(jī)工作所需的相序以與工作方式信號。L297的A、B、C、D四相輸出連接到L298N的IN1-IN4四個輸入端,并把信號輸出給步進(jìn)電機(jī)。由于步進(jìn)電機(jī)需要在正反轉(zhuǎn)運(yùn)動狀態(tài)之間來回轉(zhuǎn)換,會產(chǎn)生感應(yīng)電動勢,產(chǎn)生的感應(yīng)電動勢因此會產(chǎn)較大的感應(yīng)電流。故需要在驅(qū)動電路中加上二極管保護(hù)電路,用來避免因步進(jìn)電機(jī)突然的正反轉(zhuǎn)而產(chǎn)生的感應(yīng)電流流入L298N芯片燒壞L298N

55、,用于保護(hù)驅(qū)動電路的正常工作。L297和L298N組合控制驅(qū)動的步進(jìn)電動機(jī)可用于如打印機(jī)的托架后置、記錄儀的進(jìn)給結(jié)構(gòu)以與打字機(jī)、數(shù)控機(jī)床、軟盤驅(qū)動器、機(jī)器人、繪圖機(jī)、復(fù)印機(jī)、電動閥門等設(shè)備和裝置。步進(jìn)電機(jī)驅(qū)動電路如圖3.5所示。圖3.5 步進(jìn)電機(jī)驅(qū)動電路由步進(jìn)電機(jī)驅(qū)動電路圖可知L298N芯片VS引腳接的為12V電壓。這是給步進(jìn)電機(jī)供電的,步進(jìn)電機(jī)工作電壓為12V,在設(shè)計硬件電路中應(yīng)該再設(shè)計一個電源電路向步進(jìn)電機(jī)供電,步進(jìn)電機(jī)12V電源電路如圖3.6所示。圖3.6 步進(jìn)電機(jī)12V電源電路3.3顯示電路顯示電路為LCD1602組成的相關(guān)電路。1602為工業(yè)字符型液晶,能夠同時顯示16*02即32個

56、字符(16列2行)。1602液晶也叫1602字符型液晶,它是一種專門用來顯示字母、數(shù)字、符號等的點(diǎn)陣型液晶模塊。液晶LCD1602芯片引腳如圖3.12所示。圖3.7 LCD1602芯片引腳液晶LCD1602由若干個5*7或者5*11等點(diǎn)陣字符位組成,每個點(diǎn)陣字符位都可以顯示一個字符,每位之間有一個點(diǎn)距的間隔,每行之間也有間隔,起到了字符間距和行間距的作用,正因?yàn)槿绱怂运荒芎芎玫仫@示圖形。1602采用標(biāo)準(zhǔn)的16腳接口,對比度可調(diào),部有復(fù)位電路。LCD1602原理是基于HD44780液晶芯片的,HD44780置了DDRAM、CGROM 和CGRAM。DDRAM就是顯示數(shù)據(jù)RAM,用來寄存待顯示

57、的字符代碼。LCD1602共有11條指令包括:清屏指令、光標(biāo)歸位指令、模式設(shè)置指令、顯示開關(guān)控制指令、光標(biāo)移動方向指令、功能設(shè)置指令等。在本設(shè)計中LCD1602的作用是顯示步進(jìn)電機(jī)當(dāng)前的工作狀態(tài),通過按鍵電路按下不同的按鍵,步進(jìn)電機(jī)有不同的工作狀態(tài),此狀態(tài)則通過顯示電路顯示出來。依據(jù)設(shè)計方案中所提出的把步進(jìn)電機(jī)所走的角位移轉(zhuǎn)換成直線位移進(jìn)行顯示。設(shè)計過按鍵設(shè)置步進(jìn)電機(jī)要走的行程值,通過LCD1602實(shí)時的顯示出來,顯示單位為mm級。按下啟動按鍵步進(jìn)電機(jī)正向轉(zhuǎn)動,LCD1602則顯示步進(jìn)電機(jī)正向轉(zhuǎn)動的狀態(tài),并實(shí)時的顯示出當(dāng)前步進(jìn)電機(jī)所走的行程值。當(dāng)按下不同的按鍵時顯示容有所不同。其中LCD160

58、2顯示芯片各引腳如表3.2所示。表3.2LCD1602顯示芯片各引腳引腳號引腳名電平輸入/輸出作用1GND電源地2VCC電源(+5V)3VO對比調(diào)整電壓4RS0/1輸入0=輸入指令1=輸入數(shù)據(jù)5R/W0/1輸入0=向LCD寫入指令或數(shù)據(jù)1=從LCD讀取信息6E1,1-0輸入使能信號,1時讀取信息,1-0(下降沿)執(zhí)行指令714DB0-DB70/1輸入/輸出數(shù)據(jù)總線line8BG VCC+VCCLCD背光電源正極9BG GND接地LCD背光電源負(fù)極顯示電路中1602的RS、RW、E三個端口分別連接到了AT89S51的P2.0-P2.2端口用來控制向1602讀寫指令以與數(shù)據(jù)等信息,數(shù)據(jù)端DB0-D

59、B7連接到單片機(jī)的P0.0-P0.7I/O端口,用來輸入/輸出數(shù)據(jù)。VO端口接了一個1K的滑動變阻器用來調(diào)節(jié)LCD1602的對比度,BG VCC端口接VCC用來把LCD1602的背光打開,以便有更好的顯示效果。LCD1602顯示電路如圖3.8所示。圖3.8LCD1602顯示電路3.4獨(dú)立按鍵電路 通過設(shè)計的鍵盤電路實(shí)現(xiàn)設(shè)置步進(jìn)電機(jī)所要走的行程值,控制步進(jìn)電機(jī)正向啟動、停止、繼續(xù)啟動、停止、以與加減速啟動復(fù)位等功能。獨(dú)立按鍵電路如圖3.9所示。圖3.9獨(dú)立按鍵電路設(shè)計中一共設(shè)計了7個獨(dú)立按鍵,其中一個為單片機(jī)復(fù)位電路按鍵。其余key1-key4分別連接到單片機(jī)的P1.4-P1.7I/O口,四個按

60、鍵的功能分別為:啟動系統(tǒng)工作時key1用來設(shè)置步進(jìn)電機(jī)所要走的行程值,key2用來啟動步進(jìn)電機(jī)正向轉(zhuǎn)動,key3控制步進(jìn)電機(jī)的停止,key4是復(fù)位按鍵按下此鍵后步進(jìn)電機(jī)復(fù)位到零點(diǎn),行程值也變?yōu)榱恪ey5-key6兩個按鍵分別連接到AT89S51的P2.3-P2.4I/O口,key5為正向減速轉(zhuǎn)動,因?yàn)椴竭M(jìn)電機(jī)前進(jìn)時考慮到不需要太高的速度故設(shè)置此鍵,key6為加速復(fù)位按鍵,考慮到復(fù)位時可以有較高的速度故可以加速復(fù)位。獨(dú)立按鍵電路的工作原理是按下按鍵之后就相當(dāng)于是把對應(yīng)的端口的電勢拉低。在處理按鍵程序前就先去抖動,防止因按鍵時產(chǎn)生的機(jī)械抖動而錯誤的重復(fù)執(zhí)行相應(yīng)程序。所有按鍵處理程序都是在等按下后

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論