基于51單片機(jī)的GPS定位系統(tǒng)_第1頁
基于51單片機(jī)的GPS定位系統(tǒng)_第2頁
基于51單片機(jī)的GPS定位系統(tǒng)_第3頁
基于51單片機(jī)的GPS定位系統(tǒng)_第4頁
基于51單片機(jī)的GPS定位系統(tǒng)_第5頁
已閱讀5頁,還剩36頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、 全球定位系統(tǒng)(GPS)是由美國國防部開發(fā)的一種先進(jìn)的無線電導(dǎo)航系統(tǒng)。該系統(tǒng)能夠全天候、全方位的為海陸空用戶提供連續(xù)的、高精度的三維坐標(biāo)、三維速度和時間等信息。它所具有的諸多優(yōu)點(diǎn)是其他導(dǎo)航設(shè)備所無法比擬地。現(xiàn)在,GPS接收機(jī)作為一種先進(jìn)的導(dǎo)航和定位儀器,已在軍事及民用領(lǐng)域得到廣泛的應(yīng)用。本設(shè)計詳細(xì)介紹了一種成本低又能滿足性能使用要求的經(jīng)濟(jì)型GPS接收機(jī)的設(shè)計方案。此方案基于單片機(jī)、GPS模塊和1602液晶顯示屏等硬件,并應(yīng)用C語言實(shí)現(xiàn)了GPS信號的提取、顯示及基本的鍵盤控制操作等。經(jīng)過實(shí)踐測試,這種接收機(jī)可以達(dá)到基本GPS信息接收以及顯示,可以做到體積小、精度高、連續(xù)導(dǎo)航,并可廣泛應(yīng)用于個人野

2、外旅游探險、出租汽車定位及海上作業(yè)等領(lǐng)域。關(guān)鍵詞:GPS;單片機(jī);上位機(jī);LCD1602ABSTRACTGlobalPositioningSystem(GPS)isthemostadvancedradionavigationsystemwhichwasdevelopedbytheU.SDepartmentofDefence.Thesystemcanbeusedunderanyweatherconditions,alldaylongandanywhereontheearth.Itcanprovideland,marineandairborneuserwithcontinuous,highlyac

3、curatethree-dimensionposition,velocity,timedataetc.Ithasvariousadvantagesthatareunexampledotherkindofnavigationequipment.NowGPSreceivers,asatypeofadvancedequipmentonnavigationandpositioning,havebeenwidelyusedinbothmilitaryandcivilfield.Thisdesignintroducedindetailonekindoflow-costeconomyGPSreceivers

4、designproposalwhichcansatisfytheperformanceoperationrequirements.Thisplanisbasedonsinglechipcomputer、GPSand1602liquidcrystaldisplaymonitors,andhasrealizedtheGPSsignalextraction,thedemonstrationandthebasickeyboardcontroloperationandsoonusingtheClanguage.Bypracticalmeasurement,thereceivercanachieveGPS

5、informationreceiveanddisplay.Ithassmallsizeandlowcost,canbeusedinwildadventuretourism,taxipositioningandoperationsatsea.KEYWORDS:GPS;MCU;PC;LCD1602 TOC o 1-5 h z前言3 HYPERLINK l bookmark4 o Current Document 第1章GPS系統(tǒng)簡介及設(shè)計方案選擇4 HYPERLINK l bookmark6 o Current Document 1.1GPS系統(tǒng)簡介41.1.1GPS由來及發(fā)展41.1.2GPS定

6、位基本原理TOC o 1-5 h z HYPERLINK l bookmark12 o Current Document 1.1.3GPS接收機(jī)定位流程4 HYPERLINK l bookmark14 o Current Document 1.1.4任務(wù)的描述51.2設(shè)計方案選擇1.2.1方案一 HYPERLINK l bookmark20 o Current Document 1.2.2方案二6 HYPERLINK l bookmark26 o Current Document 第2章系統(tǒng)硬件設(shè)計72.1單片機(jī)7 HYPERLINK l bookmark28 o Current Docume

7、nt 2.2GPS模塊72.2.1概述2.2.2主要技術(shù)參數(shù)2.2.3管腳介紹12錯誤!未定義書簽。錯誤!未定義書簽。 HYPERLINK l bookmark40 o Current Document 2.3顯示部分15 HYPERLINK l bookmark44 o Current Document 2.4電源部分21 HYPERLINK l bookmark48 o Current Document 2.5晶體振蕩器22 HYPERLINK l bookmark52 o Current Document 2.6鍵盤部分22第3章系統(tǒng)相關(guān)協(xié)議介紹24 HYPERLINK l bookma

8、rk56 o Current Document 3.1GR-87協(xié)議243.1.1協(xié)議簡介243.1.2數(shù)據(jù)格式24 HYPERLINK l bookmark58 o Current Document 3.2串行通信253.2.1異步通信特點(diǎn)253.2.2異步通信協(xié)議253.2.3中斷26 HYPERLINK l bookmark60 o Current Document 3.3液晶顯示協(xié)議293.3.1基本操作時序293.3.2顯示與控制命令30 HYPERLINK l bookmark62 o Current Document 第4章系統(tǒng)軟件設(shè)計32 HYPERLINK l bookmar

9、k64 o Current Document 4.1系統(tǒng)軟件概述32 HYPERLINK l bookmark66 o Current Document 4.2軟件程序的編寫334.2.1初始化模塊334.2.2數(shù)據(jù)處理模塊334.2.3人機(jī)對話模塊錯誤!未定義書簽。 HYPERLINK l bookmark68 o Current Document 4.3代碼實(shí)現(xiàn)36結(jié)論37謝辭38 HYPERLINK l bookmark70 o Current Document 參考文獻(xiàn)39外文資料翻譯錯誤!未定義書簽。-LX.1冃IJ言GPS主系統(tǒng)是美國發(fā)射運(yùn)行的衛(wèi)星系統(tǒng),包含了27顆能持續(xù)發(fā)送地理位

10、置海拔高度和時間信號的衛(wèi)星,24個正常使用,3個備用,這些衛(wèi)星平均分布運(yùn)行在六個軌道上。一般來說,在地面上的GPS接收器能接收512個衛(wèi)星信號,而為了獲得地面上的定位坐標(biāo),GPS導(dǎo)航至少需要4個衛(wèi)星信號,三個用來確定GPS接收器的緯度、經(jīng)度和海拔高度,第四個則提供同步校正時間1。全球定位系統(tǒng)由三部分構(gòu)成:太空衛(wèi)星部份:由24顆繞極使用衛(wèi)星所組成,分成六個軌道,運(yùn)行于約20200公里的高空,繞行地球一周約12小時。每個衛(wèi)星均持續(xù)著發(fā)射載有衛(wèi)星軌道數(shù)據(jù)及時間的無線電波,提供地球上的各種接收機(jī)來應(yīng)用。地面管制部份:這是為了追蹤及控制上述衛(wèi)星運(yùn)轉(zhuǎn),所設(shè)置的地面管制站,主要工作為負(fù)責(zé)修正與維護(hù)每個衛(wèi)星能

11、保持正常運(yùn)轉(zhuǎn)的各項(xiàng)參數(shù)數(shù)據(jù),以確保每個衛(wèi)星都能提供正確的訊息給使用者接收機(jī)來接收。使用者接收機(jī):追蹤所有的GPS衛(wèi)星,并實(shí)時地計算出接收機(jī)所在位置的坐標(biāo)、移動速度及時間,各種藍(lán)牙GPS即屬于此部份。我們通常所說的GPS,就是第3部分。它可以實(shí)時提供全天候、全球性的三維定位、測速與授時功能的衛(wèi)星系統(tǒng),具有測量精度高、速度快、用戶數(shù)量不限、抗干擾能力強(qiáng)等一系列優(yōu)點(diǎn),除了可用于軍事領(lǐng)域外,還可以廣泛用于工農(nóng)業(yè)生產(chǎn)、交通運(yùn)輸、野外探險等領(lǐng)域。自20世紀(jì)90年代GPS系統(tǒng)向全世界免費(fèi)開放以來,GPS系統(tǒng)已廣泛應(yīng)用在導(dǎo)航、大地測量、精確授時、線路巡檢及車輛防盜等領(lǐng)域。接收機(jī)是獲得GPS系統(tǒng)服務(wù)的關(guān)鍵設(shè)備,

12、目前已有從手持式到臺式數(shù)百種型號的接收機(jī)可供用戶選擇。通用接收機(jī)功能齊全,除了信號接收單元外,往往還配置有顯示單元和人機(jī)對話設(shè)備。這一方面為用戶提供了極大的方便但GPS定位接收機(jī)價格比較昂貴而且使用靈活性低,難以滿足特定條件下的應(yīng)用需求,造成了資金浪費(fèi)。因此,眾多用戶期望按照自己的使用環(huán)境和性能要求設(shè)計和使用個性化的GPS定位接收機(jī)。1GPS系統(tǒng)簡介及設(shè)計方案選擇GPS系統(tǒng)簡介GPS由來及發(fā)展導(dǎo)航衛(wèi)星定時測距全球定位系統(tǒng)(NavigationSatelliteTimingandRangingGlobalPositionSystemGPS)是美國第二代衛(wèi)星導(dǎo)航系統(tǒng)。它在1973年底由美國陸??杖?/p>

13、軍等單位協(xié)調(diào)分工提出的能取代舊式的導(dǎo)航設(shè)備,為軍用艦船、飛機(jī)車輛等用戶提供全球全天候、連續(xù)實(shí)時服務(wù)的高精度三維導(dǎo)航系統(tǒng)。系統(tǒng)由空間部分、地面監(jiān)控部分和地面接收機(jī)部分組成。定位服務(wù)包括精密定位服務(wù)(PPS)和標(biāo)準(zhǔn)定位服務(wù)(SPS)。PPS授權(quán)的精密定位系統(tǒng)用戶需要密碼設(shè)備和特殊的接收機(jī)。SPS對于普通民用用戶,供全世界用戶免費(fèi)、無限制地使用2由于GPS具有全球覆蓋以及精度高、定位速度快、實(shí)時性好、抗干擾能力強(qiáng)等特點(diǎn),近年來在國內(nèi)外得到廣泛的應(yīng)用,在各個領(lǐng)域發(fā)揮了極大的作用,已成為信時代不可缺少的一部分。各種GPS民用產(chǎn)品的開發(fā),已是經(jīng)濟(jì)和社會發(fā)展的必然要求,其前景將會非常廣闊和光明,尤其是在我國

14、,通過這些年來對它認(rèn)識不斷加深,我國的GPS開發(fā)應(yīng)用也一定會以科技力量推動經(jīng)濟(jì)和社會發(fā)展的一顆巨星,對我國的經(jīng)濟(jì)和社會的發(fā)展產(chǎn)生重大的影響。GPS定位基本原理GPS定位技術(shù)的基本原理是采用測量學(xué)中通用的測距交會方法.GPS接收機(jī)在某一時刻接收到4顆以上的GPS衛(wèi)星信號導(dǎo)航電文,通過變頻、放大、濾波等一系列處理過程,實(shí)現(xiàn)對GPS衛(wèi)星號的跟蹤、鎖定、測量,從而產(chǎn)生計算位置的數(shù)據(jù)信息(包括:緯度、經(jīng)度、高度、速度、日期、時間、航向、衛(wèi)星狀況等),經(jīng)由I/O口輸出串行數(shù)據(jù).1.1.3GPS接收機(jī)定位流程.搜索可用衛(wèi)星,接收衛(wèi)星信號,與衛(wèi)星信號同步,提取導(dǎo)航電文信.從導(dǎo)航電文中獲取計算位置所需的信息,這

15、些信息應(yīng)該包括時鐘信息和星歷等數(shù)據(jù);.計算衛(wèi)星的準(zhǔn)確位置,這包括計算衛(wèi)星的高度和方位角,從而進(jìn)行必要的對流層校正;.計算偽距,并進(jìn)行電離層校正等;.重復(fù)上述過程,對所有可用衛(wèi)星進(jìn)行相應(yīng)的計算;進(jìn)行其他必要的校正,例如根據(jù)衛(wèi)星信號到達(dá)GPS接收機(jī)的時間,校正地球旋轉(zhuǎn)所造成的衛(wèi)星位置的偏差;根據(jù)定位原理,計算出GPS接收機(jī)的初始位置,并將其轉(zhuǎn)換成所需的坐標(biāo)格式進(jìn)行顯示或輸出;加入閏秒和UTC(標(biāo)準(zhǔn)世界時)時間補(bǔ)償計算當(dāng)前精確的時間;9分析可用衛(wèi)星的信息,計算最好的DOP(DilutionofPrecision),進(jìn)行選星,并計算和修正GPS接收機(jī)的位置,給出GPS接收機(jī)的三維坐標(biāo)和準(zhǔn)確的時間信息。

16、1.1.4任務(wù)的描述我們針對全球定位系統(tǒng)GPS,自行研制了一套GPS接收機(jī),具有接收、處理、顯示信息能力并能進(jìn)行鍵盤操作。本設(shè)計著重對NMEA-0183語句的數(shù)據(jù)格式、單片機(jī)串行通信、液晶顯示格式進(jìn)行了詳細(xì)論述,同時給出了硬件電路和軟件設(shè)計。工作要求:準(zhǔn)確地進(jìn)行定位,顯示出緯度、經(jīng)度、速度、時間、方位角、天空中的衛(wèi)星總數(shù)以及使用的衛(wèi)星數(shù)。通過按鍵可以進(jìn)行復(fù)位與頁面切換功能。1.2設(shè)計方案選擇1.2.1方案一系統(tǒng)由GPS-OEM板、電平轉(zhuǎn)換電路(MAX232)、控制電路(8051單片機(jī))、顯示部分(SED1335彩色液晶顯示器)組成。但在和單片機(jī)進(jìn)行串行通信時由于電平不同,必須附加電平轉(zhuǎn)換電路(

17、MAX232)而且價格比較昂貴。單片機(jī)采用8051功能全面,但其內(nèi)部ROM一般是掩膜ROM,不可更新改寫。SED1335彩色液晶顯示器其有效顯示點(diǎn)陣為320X240,顯示顏色為4色,但根據(jù)我們設(shè)計要求,單色顯示完全可以。故不采用。1.2.2方案二系統(tǒng)由GPS模塊(GR-87)、控制電路(89C51單片機(jī))、顯示部分(SMC1602液晶顯示器)組成。HOLUXGR-87是一個高性能,低功耗,小型的并且很容易聯(lián)合的GPS模塊。該芯片每次將跟蹤12枚衛(wèi)星,應(yīng)用廣泛。而且不用附加電平轉(zhuǎn)換電路,可以直接與單片機(jī)進(jìn)行串行通信。單片機(jī)采用89C51,其功能完全可以滿足設(shè)計要求,而且相對于8051,其內(nèi)部RO

18、M是FLASH-ROM,可多次更新改寫,價格也便宜。1602字符型液晶模塊是一種用5x7位圖形來顯示字符的單色液晶顯示器,顯示2行16個字,可以滿足設(shè)計要求而且經(jīng)濟(jì)實(shí)惠??梢钥闯龇桨付訉?shí)用,根據(jù)現(xiàn)實(shí)生活的需要,設(shè)計采用此方案。 2系統(tǒng)硬件設(shè)計課題要求研制的GPS接收機(jī)要具有接收、處理、顯示信息、鍵盤操作,硬件上必須有相應(yīng)的接收處理部分、顯示部分和配置輸入部分。同時需要處理器實(shí)現(xiàn)各部分功能的聯(lián)結(jié)。由于單片機(jī)集成度高,系統(tǒng)結(jié)構(gòu)簡單,價格低廉,同時技術(shù)成熟,處理器部分使用單片機(jī)實(shí)現(xiàn)。本課題設(shè)計的硬件系統(tǒng)主要由:單片機(jī)、GPS模塊、顯示部分等組成。如圖2-1所示:圖2-1系統(tǒng)框圖2.1單片機(jī)2.1

19、.1單片機(jī)概述STC89C52是51系列單片機(jī)的一個型號,它是STC公司生產(chǎn)的。STC89C52是一個低電壓,高性能CMOS8位單片機(jī),片內(nèi)含8kbytes的可反復(fù)擦寫的Flash只讀程序存儲器和256bytes的隨機(jī)存取數(shù)據(jù)存儲器(RAM),器件采用STCMEL公司的高密度、非易失性存儲技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng),片內(nèi)置通用8位中央處理器和Flash存儲單元,功能強(qiáng)大的STC89C52單片機(jī)可為您提供許多較復(fù)雜系統(tǒng)控制應(yīng)用場合。STC89C52有40個引腳,32個外部雙向輸入/輸出(I/O)端口,同時內(nèi)含2個外中斷口,3個16位可編程定時計數(shù)器,2個全雙工串行通信口,2個讀寫口線

20、,STC89C52可以按照常規(guī)方法進(jìn)行編程,但不可以在線編程(S系列的才支持在線編程)。其將通用的微處理器和Flash存儲器結(jié)合在一起,特別是可反復(fù)擦寫的Flash存儲器可有效地降低開發(fā)成本。STC89C52有PDIP、PQFP/TQFP及PLCC等三種封裝形式,以適應(yīng)不同產(chǎn)品的需求。主要功能特性兼容MCS51指令系統(tǒng)8k可反復(fù)擦寫(1000次)FlashROM32個雙向I/O口?256x8bit內(nèi)部RAM3個16位可編程定時/計數(shù)器中斷?時鐘頻率0-24MHZ2個串行中斷可編程UART串行通道2個外部中斷源共8個中斷源2個讀寫中斷口線3級加密位低功耗空閑和掉電模式軟件設(shè)置睡眠和喚醒功能805

21、1單片機(jī)的引腳功能MCS-51系列單片機(jī)一般采用40個引腳,雙列直插式封裝,用HMOS工藝制造,其外部引腳排列如圖所示。其中,各引腳的功能為:1玉衛(wèi)ToTT亙TsTeTVTsT?20P1.0VccP1.1PO.OPl.2P0.1Pl.3P0.2Pl.4P0.3Pl.5P0.4Pl.63051P0.5Pl.7P0.6RST/VpdP0.7P3.0/RkDEA/VppP3.1/TkDALE/PROGP3.2/INT0PSENP3.3/INT1P2.7P3.4/T0P2.6P3.5/T1P2.5P3.6/WP2.4P3.7/RDP2.3KTAL2P2.2KTAL1P2.1VssP2.04039383

22、736353433323T3029KTAL1KTAL2EA/Vpp*_oPSEN-3ALE/PROG一RST/Vpdfd口(地址/數(shù)據(jù)總線)210051pi口(I/O線)口P3(I/一三一三P2口(地址總線)(b)邏輯符(a)DIP引腳圖號8051單片機(jī)的引腳主電源引腳Vcc(40腳):接+5V電源正端Vss(20腳):接+5V電源地端一般Vcc和Vss間應(yīng)接高頻去耦電容和低頻濾波電容。外接晶體或外部振蕩器引腳XTAL1(19腳):接外部晶振的一個引腳。在單片機(jī)內(nèi)部,它是一個反相放大器的輸入端,這個放大器構(gòu)成了片內(nèi)振蕩器OSC。當(dāng)采用外部振蕩器時,此引腳應(yīng)接地。XTAL2(18腳):接外部晶振

23、的另一個引腳。在片內(nèi)接至反相放大器的輸出端和內(nèi)部時鐘電路的輸入端。當(dāng)采用外部振蕩器時,此腳接外部振蕩器的輸出端??刂菩盘柧€RST/VPD(9腳):復(fù)位信號輸入端,復(fù)位/掉電時內(nèi)部RAM的備用電源輸入端ALE/(30腳):地址鎖存允許/編程脈沖輸入。用ALE鎖存從P0口輸出的低8位地址;在對片內(nèi)EPROM編程時,編程脈沖由此輸入。(29腳):外部程序存儲器讀選通信號,低電平有效。/VPP(31腳):訪問外部存儲器允許/編程電壓輸入。EA為高電平時,訪問內(nèi)部存儲器;低電平時,訪問外部存儲器。對片內(nèi)EPROM編程時,此腳接21V編程電壓。多功能I/O口引腳8051單片機(jī)設(shè)有4個雙向I/O口(PO、P

24、1、P2、P3),每一組I/O口線都可以獨(dú)立地用作輸入或輸出口,其中:P0口(3239腳)雙向口(三態(tài)),可作為輸入/輸出口,可驅(qū)動8個LSTTL門電路。實(shí)際應(yīng)用中常作為分時使用的地址/數(shù)據(jù)總線口,對外部程序或數(shù)據(jù)存儲器尋址時低8位地址與數(shù)據(jù)總線分時使用P0口:先送低8位地址信號到P0口,由地址鎖存信號ALE的下降沿將地址信號鎖存到地址鎖存器后,再作為數(shù)據(jù)總線的口線對數(shù)據(jù)進(jìn)行輸入或輸出。P1口(18腳)準(zhǔn)雙向口(三態(tài)),可驅(qū)動4個LSTTL門電路。用作輸入線時,口鎖存器必須由單片機(jī)先寫入“1”,每一位都可編程為輸入或輸出線。P2口(2128)準(zhǔn)雙向口(三態(tài)),可驅(qū)動4個LSTTL門電路。可作為

25、輸入/輸出口,實(shí)際應(yīng)用中一般作為地址總線的高8位,與P0口一起組成16位地址總線,用于對外部存儲器的接口電路進(jìn)行尋址。P3口(1017腳)準(zhǔn)雙向口(三態(tài)),可驅(qū)動4個LSTTL門電路。雙功能口,作為第一功能使用時,與P1口一樣;作為第二功能使用時,每一位都有特定用途,其特殊用途如表所示:端口引腳第二功能注釋 P3.0P3.1P3.2RXDTXD/INTO串行口數(shù)據(jù)接收端串行口數(shù)據(jù)發(fā)送端外中斷請求0P3.3P3.4/INT1TO外中斷請求1定時/計數(shù)器0外部計數(shù)信號輸入P3.5P3.6T1/WR定時/計數(shù)器1外部計數(shù)信號輸入外部RAM寫選通信號輸出P3.7/RD外部RAM讀選通信號輸出2.1.2

26、單片機(jī)最小系統(tǒng)所謂單片機(jī)的最小系統(tǒng)是指使單片機(jī)能運(yùn)行程序、正常工作的最簡單電路系統(tǒng),是保證單片正常啟動、開始工作的必須電路,缺一不可。單片機(jī)最小系統(tǒng)一般由單片機(jī)、程序存儲器、時鐘電路和復(fù)位電路組成。對于8051單片機(jī),由于片內(nèi)有4K的程序存儲器,所以其最小系統(tǒng)除了單片機(jī)本身外,只需外接時鐘電路與復(fù)位電路即可。復(fù)位及復(fù)位電路8051單片機(jī)的復(fù)位復(fù)位是使CPU和系統(tǒng)中其他功能部件都處于一個確定的初始狀態(tài),并從這個狀態(tài)開始工作。8051單片機(jī)在RST輸入端(9腳)出現(xiàn)高電平時實(shí)現(xiàn)系統(tǒng)的復(fù)位和初始化。在振蕩器運(yùn)行的情況下,要實(shí)現(xiàn)復(fù)位操作,必須使RST端的高電平至少保持兩個機(jī)器周期(24個振蕩周期)。C

27、PU在第二個機(jī)器周期內(nèi)執(zhí)行復(fù)位操作,以后每一個機(jī)器周期重復(fù)一次,直到RST降為低電平。復(fù)位期間不產(chǎn)生ALE及/PSEN信號。復(fù)位的內(nèi)部操作使SP為07H,各端口(P0P3)都為0FFH,特殊功能寄存器都為0,但不影響RAM的狀態(tài)。當(dāng)復(fù)位結(jié)束(RST變?yōu)榈碗娖剑┖螅珻PU從0000H開始執(zhí)行程序。值得注意的是:8051單片機(jī)通電后并不運(yùn)行ROM里的程序,只有正常復(fù)位后,才能開始工作。復(fù)位電路單片機(jī)的復(fù)位分為上電自動復(fù)位、按鍵手動復(fù)位兩種和看門狗強(qiáng)制復(fù)位三種等。上電復(fù)位通常利用電容的充放電來實(shí)現(xiàn),按鍵復(fù)位則可分為按鍵脈沖復(fù)位和按鍵電平復(fù)位兩種,看門狗復(fù)位則通過外接看門狗電路或軟件看門狗程序?qū)崿F(xiàn)。常

28、見的上電復(fù)位和按鍵復(fù)位電路如圖所示。(a)上電復(fù)位(b)按鍵脈沖復(fù)位(c)按鍵電平復(fù)位圖中,(a)為最簡單的單片機(jī)復(fù)位電路。當(dāng)系統(tǒng)上電時,由于電容C兩端的電壓不會瞬間改變,所以8051的第9腳復(fù)位端會得到短暫的高電平,隨后,電容通過電阻R進(jìn)行充電,經(jīng)過一段時間后,RST端變?yōu)榈碗娖健.?dāng)電容的充放電時間常數(shù)RC足夠大,能保證在RST端得到超過兩個機(jī)器周期的高電平時,單片機(jī)完成復(fù)位操作,開始正常運(yùn)行ROM里的程序。為按鍵脈沖復(fù)位電路。當(dāng)系統(tǒng)上電時,單片機(jī)并不復(fù)位,不能運(yùn)行ROM里的程序,只有當(dāng)系統(tǒng)上電后,按一下復(fù)位按鍵(圖中未畫出),反相器輸出超過兩個機(jī)器周期的高電平,才能完成系統(tǒng)復(fù)位。為包括上電

29、復(fù)位功能的按鍵電平復(fù)位電路,是最常見的單片機(jī)復(fù)位電路之一。當(dāng)系統(tǒng)上電時,單片機(jī)的RST端得到兩個以上機(jī)器周期的高電平,隨后電容C經(jīng)電阻R充電,變?yōu)榈碗娖?,完成單片機(jī)的上最復(fù)位。在單片機(jī)的運(yùn)行過程中,如果由于外界干擾等因素的影響,使單片機(jī)的程序跑飛,則可以通過按下按鍵K,使單片機(jī)完成復(fù)位操作。當(dāng)按下K鍵時,電容兩端短路,RST接到電源VCC變?yōu)楦唠娖?,同時電容迅速放電,使電容的兩個極板電位一致。釋放按鍵K后,電容C通過電阻R充電,經(jīng)過兩個以上機(jī)器周期的時間后,RST端變?yōu)榈碗娖?,完成單片機(jī)的復(fù)位。時鐘電路時鐘電路用于產(chǎn)生單片機(jī)的基本時鐘信號。8051的時鐘信號可由內(nèi)部振蕩器產(chǎn)生,也可由外部電路直

30、接提供。內(nèi)部振蕩器的輸入和輸出腳分別為XTAL1和XSTCL2,由XTAL2給單片機(jī)內(nèi)部電路提供時鐘信號。當(dāng)時鐘信號由外部電路提供時,外部時鐘引入XTAL2,而XTAL1腳接地。兩種時鐘信號的連接電路如圖所示。Vcc2.2GPS模塊221概述根據(jù)設(shè)計需要,GPS模塊選用GR-87。H0LUXGR-87是一個高性能,低功耗,小型的并且很容易聯(lián)合的GPS模塊,它每次將跟蹤12枚衛(wèi)星,應(yīng)用廣泛。當(dāng)GR-87系統(tǒng)最初的自檢完成后,它開始處理衛(wèi)星所獲得的數(shù)并自動跟蹤。在正常情況下,它需要大約45秒達(dá)到位置進(jìn)行定位,但如果ephemeris數(shù)據(jù)知道,只用38秒即可。在被計算了之后,合法的位置、速度和時間等

31、信息被傳送到輸出通道,通過串口傳送到單片機(jī)設(shè)備。GR-87運(yùn)用最初的數(shù)據(jù),例如前被存放的位置、日期和衛(wèi)星軌道數(shù)據(jù),完成最大獲取。2.2.2GPS特性.行業(yè)標(biāo)準(zhǔn)的25*25*4MM高靈敏度GPS天線.UART/TTL,232電平,USB2.0可選接口.采用KDS0.5PPM高精度TCXO.內(nèi)建RTC晶體及皮法電容更快的熱啟動.內(nèi)置EEPROM,自由豐富配置參數(shù).5Hz定位更新速率.支持AssistNowOnline和AssistNowOffline等A-GPS服務(wù).GPS、GALILEO、SBAS(WAAS、EGNOS、MSAS、GAGAN)混合引擎用戶可以自由設(shè)置:數(shù)據(jù)速率:9600bps(默

32、認(rèn))可選:1200,2400,4800,19200,38400,57600,115200,230400,460800,921600輸出語句:NMEA0183V3.0(GGA,GSA,GSV,RMC,VTG,GLL)協(xié)議數(shù)據(jù),可任意設(shè)置搭配。數(shù)據(jù)刷新率:1HZ-5HZ的刷新率。PPS指示燈:未定位前常亮或者關(guān)閉;定位后閃爍。AGPS:支持自主輔助定位系統(tǒng)。使能控制:支持外部10促發(fā)控制模塊的開關(guān)狀態(tài)。衛(wèi)星質(zhì)量控制:豐富的設(shè)置衛(wèi)星質(zhì)量控制及防止飄逸軟體設(shè)置。應(yīng)用場景:從步行模式-車載模式-靜態(tài)模式-便攜模式-空降模式及2D&3D定位用戶可以自由設(shè)置。默認(rèn)如產(chǎn)品圖片,為TTL信號輸出。VK16U6拆

33、開屏蔽蓋圖名稱描述PPS時間標(biāo)淮脈沖輸出VCC系統(tǒng)主電源,供電電壓肯+3.3r+5V?工作時消耗電疣約55mATKDAUAET接口,可選USB_DMEXDAUAKT接口,可選USB_DFGND接地VCC_NC電源使能,高電平/懸空檯組工作,低電平模組關(guān)閉2.3顯示部分2.3.1LCD12864模塊簡介液晶顯示器件(LCD)獨(dú)具的低壓、微功耗特性使他在單片機(jī)系統(tǒng)中特得到了廣泛的應(yīng)用,常用的液晶顯示模塊分為數(shù)顯液晶模塊、點(diǎn)陣字符液晶模塊和點(diǎn)陣圖形液晶模塊,其中圖形液晶模塊在我國應(yīng)用較為廣泛,因?yàn)闈h字不能像西文字符那樣用字符模塊即可顯示,要想顯示漢字必須用圖形模塊。下圖為LCD12864接口GND本

34、課設(shè)所選擇的LCD是lcd12864的漢字圖形型液晶顯示模塊,可顯示漢字及圖形。 表3.1LGM12641接口說明表管腳號管腳電平說明1CS1H/L片選擇信號,高電平時選擇前64列2CS2H/L片選擇信號,高電平時選擇后64列3GND0V邏輯電源地4VCC5.0邏輯電源正5V0VLCD驅(qū)動電壓,應(yīng)用時在VEE與V0之間加一2K可調(diào)電阻6RSH/L數(shù)據(jù)指令選擇:咼電平:數(shù)據(jù)D0-D7將送入顯示RAM;低電平:數(shù)據(jù)D0-D7將送入指令寄存器執(zhí)行7R/WH/L讀寫選擇:咼電平:讀數(shù)據(jù);低電平:寫數(shù)據(jù)8EH/L讀寫使能,咼電平有效,下降沿鎖定數(shù)據(jù)9DB0H/L數(shù)據(jù)輸入輸出引腳10DB1H/L數(shù)據(jù)輸入輸

35、出引腳11DB2H/L數(shù)據(jù)輸入輸出引腳12DB3H/L數(shù)據(jù)輸入輸出引腳13DB4H/L數(shù)據(jù)輸入輸出引腳14DB5H/L數(shù)據(jù)輸入輸出引腳15DB6H/L數(shù)據(jù)輸入輸出引腳16DB7H/L數(shù)據(jù)輸入輸出引腳17RSTL復(fù)位信號,低電平有效18VOU-10LCD驅(qū)動電源TV232指令描述顯示開/關(guān)設(shè)置CODE:LLLLHHHHHH/LR/WRSDB7DB6DB5DB4DB3DB2DB1DB0功能:設(shè)置屏幕顯示開/關(guān)。DB0=H,開顯示;DB0=L,關(guān)顯示。不影響顯示RAM(DDRAM)中的內(nèi)容。(2)設(shè)置顯示起始行CODE:R/WRSDB7DB6DB5DB4DB3DB2DB1DB0LLHH行地址(063

36、)功能:執(zhí)行該命令后,所設(shè)置的行將顯示在屏幕的第一行。顯示起始行是由Z地址計數(shù)器控制的,該命令自動將A0-A5位地址送入Z地址計數(shù)器,起始地址可以是0-63范圍內(nèi)任意一行。Z地址計數(shù)器具有循環(huán)計數(shù)功能,用于顯示行掃描同步,當(dāng)掃描完一行后自動加一。(3)設(shè)置頁地址CODE:R/WRSDB7DB6DB5DB4DB3DB2DB1DB0LLHLHHH頁地址(07)功能:執(zhí)行本指令后,下面的讀寫操作將在指定頁內(nèi),直到重新設(shè)置。地址就是DDRAM的行地址,頁地址存儲在X地址計數(shù)器中,A2-A0可表示8頁,讀寫數(shù)據(jù)對頁地址沒有影響,除本指令可改變頁地址外,復(fù)位信號(RST)可把頁地址計數(shù)器內(nèi)容清零。DDRA

37、M地址映像表如表3.2所示。表3.2RAM地址映像表Y地址fPAGE6X=6DB7DB0fPAGE7X=7DB7設(shè)置列地址CODE:R/WRSDB7DB6DB5DB4DB3DB2DB1DB0LLLH列地址(063)功能:DDRAM的列地址存儲在Y地址計數(shù)器中,讀寫數(shù)據(jù)對列地址有影響在對DDRAM進(jìn)行讀寫操作后,Y地址自動加一。(5)狀態(tài)檢測CODE:R/WRSDB7DB6DB5DB4DB3DB2DB1DB0HLBFLON/OFFRSTLLLL功能:讀忙信號標(biāo)志位(BF)、復(fù)位標(biāo)志位(RST)以及顯示狀態(tài)位(ON/OFF)。BF=L:空閑狀態(tài)。RST=L:正常狀態(tài)。ON/OFF二L:表示顯示開。

38、BF=H:內(nèi)部正在執(zhí)行操作;RST=H:正處于復(fù)位初始化狀態(tài);ON/OFF=H:表示顯示關(guān)閉;(6)寫顯示數(shù)據(jù)CODE:R/WRSDB7DB6DB5DB4DB3LHD7D6D5D4D3D2D1D0DB2DB1DB0功能:寫數(shù)據(jù)到DDRAM,DDRAM是存儲圖形顯示數(shù)據(jù)的,寫指令執(zhí)行后Y地址計數(shù)器自動加1。D7-D0位數(shù)據(jù)為1表示顯示,數(shù)據(jù)為0表示不顯示。寫數(shù)據(jù)到DDRAM前,要先執(zhí)行“設(shè)置頁地址”及“設(shè)置列地址”命令。(7)讀顯示數(shù)據(jù)CODE:R/WRSDB7DB6DB5DB4DB3DB2DB1DB0HHD7D6D5D4D3D2D1D0基本操作時序:讀狀態(tài):輸入:RS=L,R/W=H,CS1或

39、CS2=H,E=H輸出:D0D7=狀態(tài)字寫指令:輸入:RS=L,R/W=L,D0D7=指令碼,CS1或CS2=H,E=高脈沖輸出:無讀數(shù)據(jù):輸入:RS=H,R/W=H,CS1或CS2=H,E=H輸出:D0D7=數(shù)據(jù)寫數(shù)據(jù):輸入:RS=H,R/W=L,D0D7=數(shù)據(jù),CS1或CS2=H,E=高脈沖輸出:無由RAM地址映射表可知LCD顯示屏由兩片控制器控制,分別用CS1和CS2控制。每個內(nèi)部帶有64X64位(512字節(jié))的RAM緩沖區(qū),對應(yīng)關(guān)系如圖3-2所示。圖3-2LCD地址映射圖整個屏幕分左、右兩個屏,每個半屏右8頁,每頁有8行,注意數(shù)據(jù)是豎行排列,如表3.2。顯示一個字要16*16點(diǎn),全屏有

40、128*64個點(diǎn),故可顯示32個中文漢字。每兩頁顯示一行漢字,可顯示4行漢字,每行8個漢字,共32個漢字。而顯示數(shù)據(jù)需要16*8個點(diǎn),可顯示數(shù)據(jù)是漢字的兩陪。屏幕是通過CS1、CS2兩信號來控制的,不同的組合方式所選的屏幕是不同的,對應(yīng)關(guān)系如表3.3所示。表3.3屏幕選擇表CS1CS2選屏00全屏01左屏10右屏11不選(8)操作設(shè)定開始頁地址和列地址;設(shè)定讀寫模式,進(jìn)行讀寫操作。只有理解了液晶顯示器各個指令的功能,再結(jié)合單片機(jī)的指令系統(tǒng),就能編寫C語言程序來達(dá)到混合顯示漢字與數(shù)字的目的。通過程序?qū)⒆值拇a寫入相應(yīng)的DDRAM地址,就可以再相應(yīng)的位置顯示相應(yīng)的字。2.3.3漢字字模提取液晶顯示

41、器件(LCD)獨(dú)具的低壓、微功耗特性使他在單片機(jī)系統(tǒng)中特得到了廣泛的應(yīng)用,常用的液晶顯示模塊分為數(shù)顯液晶模塊、點(diǎn)陣字符液晶模塊和點(diǎn)陣圖形液晶模塊,其中圖形液晶模塊在我國應(yīng)用較為廣泛,因?yàn)闈h字不能象西文字符那樣用字符模塊即可顯示,要想顯示漢字必須用圖形模塊。液晶模塊顯示漢字方法:使用圖形液晶模塊以點(diǎn)陣形式來顯示漢字和圖形,每8個點(diǎn)組成1個字節(jié),每個點(diǎn)用一個二進(jìn)制位表示,存1的點(diǎn)顯示時在屏上顯示一個亮點(diǎn),存0的點(diǎn)則在屏上不顯示,最常用的16X16的漢字點(diǎn)陣由32個字節(jié)組成。以在我國應(yīng)用較為普及的液晶顯示驅(qū)動控制器12864為例,在液晶屏上豎向8個點(diǎn)為1個字節(jié)數(shù)據(jù),通過字模提取軟件按照先左后右,先上

42、后下的方式對漢字進(jìn)行字模提取。上下圖3-3字提取方格由于D0-D7是從上到下排列的,最上面8行是上一頁,我們先提取上面一頁的數(shù)據(jù)16個,在按照相同的方法提取下一頁的數(shù)據(jù)16個,在分別寫入對應(yīng)的DDRAM地址,就可以顯示我們所需要的字。簡單的字可手工制作,也可以用起模軟件zimo221【5】提取標(biāo)準(zhǔn)的宋體漢字,不過本文所用的液晶顯示屏用這款軟件要設(shè)計縱向取模并且要反字節(jié),否則將顯示亂碼。數(shù)字只需起漢字的一半數(shù)據(jù)就可以了,如圖3-3所示的左邊8列或者右邊8列。2.4電源部分鑒于GPS只能在室外進(jìn)行測試,在室內(nèi)是接收不到衛(wèi)星信號。考慮到本系統(tǒng)的特殊性,為了測試方便及可靠,本系統(tǒng)采用電池槽供電,方便攜

43、帶,便于野外測試與使用。VCCP311=電源部分GXD2.5晶體振蕩器石英晶體振蕩器是高精度和高穩(wěn)定度的振蕩器,被廣泛應(yīng)用于單片機(jī)、計算機(jī)、遙控器等各類振蕩電路中,以及通信系統(tǒng)中用于頻率發(fā)生器、為數(shù)據(jù)處理設(shè)備產(chǎn)生時鐘信號和為特定系統(tǒng)提供基準(zhǔn)信號。如圖2-2所示。C1+P22pF04IIY1C2+-110592MGXD圖2-2石英晶體振蕩器2.6鍵盤部分P2.7、然后,RST切換按鍵由單片機(jī)的P2.1、P2.6、P2.7控制,接上拉電阻,未按時P2.1、P2.6為高電平。切換鍵盤輸入信息的過程是:首先,單片機(jī)判斷是否有鍵按下;單片機(jī)把該鍵代表的信息轉(zhuǎn)換成相應(yīng)的代碼,如圖2-3所示。復(fù)位按鍵由單片

44、機(jī)控制,如圖2-2所示。S1卜ENDO0SW-PE|-GKDS3GND圖2-3頁面切換按鍵2.7總原理圖如下P1LCD12E54二0-VCCFL1VCCVCCGNDGND1DKPSEP3.522pF12pFczmDEO1DE12DB23DE3斗DE斗5DE55DE5丁DB7g9RXD10TXD1112I?亙1TTsrTiGND12:11肛仍沁1stcg9c52Pl.OPl1PUP13Pl.4P15Pl.6P1.7RSTP3.0.RXDP3J.TXDP3J.INT0P33.INT1P3AT0P3JT1P二氐亟P3.7.RDXT.4L2XTALVSGNDSIBW-PE|lGKDSI沐-PE|lGK

45、D沐-PEIlGKE-圖2-7:系統(tǒng)原理圖其中P2為排針,P2為單片機(jī)的RXD和TXD可以與GPS模塊進(jìn)行串口通信,本系統(tǒng)使用的GPS模塊式默認(rèn)9600波特率,故在程序的實(shí)現(xiàn)上要注意。 3系統(tǒng)相關(guān)協(xié)議介紹3.1GR-87協(xié)議3.1.1協(xié)議簡介GR-87接口協(xié)議是以美國國家海洋電子協(xié)會(NMEA-TheNationalMarineElectronicsAssociation)制定的NMEA-01832.0版協(xié)議為依據(jù)的。NMEA-0183數(shù)據(jù)格式設(shè)置為1個起始位,8個數(shù)據(jù)位,1個停止位,無奇偶校驗(yàn),波特率默認(rèn)為4800。NMEA-0183輸出數(shù)據(jù)為ASCII碼,常用語句包括GPGGA、GPGLL

46、、GPGSA、GPRMC等,其內(nèi)容主要有經(jīng)度、緯度、高度、速度、時間等43.1.2數(shù)據(jù)格式根據(jù)所需數(shù)據(jù)的需要,只選用GPGGA、GPGSV、GPRMC語句。$GPGAAGPS定位信息例:$GPGGA,092204.999,4250.5589,S,14718.5084,E,1,04,24.4,19.7,M,0000*1F它的起始引導(dǎo)符及語句格式說明(只列出了系統(tǒng)關(guān)心的一些參數(shù)):字段2:緯度ddmm.mmmm,度分格式(前導(dǎo)位數(shù)不足則補(bǔ)0)字段3:緯度N(北緯)或S(南緯)字段4:經(jīng)度dddmm.mmmm,度分格式(前導(dǎo)位數(shù)不足則補(bǔ)0)字段5:經(jīng)度E(東經(jīng))或W(西經(jīng))字段6:GPS狀態(tài),0=未

47、定位,1=非差分定位,2=差分定位,3=無效PPS,6=正在估算字段7:正在使用的衛(wèi)星數(shù)量(00-12)(前導(dǎo)位數(shù)不足則補(bǔ)0)字段9:海拔高度(-9999.9-99999.9)GPGSV可見衛(wèi)星信息例:$GPGSV,3,1,10,20,78,331,45,01,59,235,47,22,41,069,13,32,252,45*70它的起始引導(dǎo)符及語句格式說明(只列出了系統(tǒng)關(guān)心的一些參數(shù)):字段3:當(dāng)前可見衛(wèi)星總數(shù)(00-12)(前導(dǎo)位數(shù)不足則補(bǔ)0)3.$GPRMC推薦定位信息例:$GPRMC,024813.640,A,3158.4608,N,11848.3737,E,10.05,324.27,

48、150706,A*50它的起始引導(dǎo)符及語句格式說明(只列出了系統(tǒng)關(guān)心的一些參數(shù)):字段1:UTC時間,hhmmss.sss格式字段7:速度,節(jié),Knots或Km/h字段8:方位角,度3.2串行通信串行通信指數(shù)據(jù)是一位一位按順序傳送的通信方式。同步和異步都屬于串行數(shù)據(jù)傳送方式。由于本設(shè)計只涉及異步通信,所以重點(diǎn)介紹異步通信(以AT89C51為例)。3.2.1異步通信特點(diǎn)一個字符一個字符地傳輸,每個字符一位一位地傳輸,并且傳輸一個字符時,總是以“起始位”開始,以“停止位”結(jié)束,字符之間沒有固定的時間間隔要求。每一個字符的前面都有一位起始位(低電平,邏輯值),字符本身由5-8位數(shù)據(jù)位組成(本設(shè)計中為

49、8位,規(guī)定低位在前,高位在后),接著字符后面是一位校驗(yàn)位(本設(shè)計中無校驗(yàn)位),最后是一位或一位半或二位停止位,停止位后面是不定長的空閑位(可有可無)。停止位和空閑位都規(guī)定為高電平(邏輯值1),這樣就保證起始位開始處一定有一個下跳沿。3.2.2異步通信協(xié)議起始位通信上沒有數(shù)據(jù)被傳送時,處于邏輯1狀態(tài)。當(dāng)發(fā)送設(shè)備要發(fā)送一個字符數(shù)據(jù)時,首先發(fā)出一個邏輯0信號,這個邏輯低電平就是起始位。起始位通過通信線傳向接收設(shè)備,接收設(shè)備檢測到這個邏輯低電平后,就開始準(zhǔn)備接受數(shù)據(jù)位信號。起始位所起的作用就是設(shè)備同步,通信雙方必須在傳送數(shù)據(jù)位前協(xié)調(diào)同步。.數(shù)據(jù)位當(dāng)接收設(shè)備收到起始位后,緊接著就會接收數(shù)據(jù)位。89C51

50、采用的是9或8位數(shù)據(jù)傳送,這些數(shù)據(jù)被接收到移位寄存器中,構(gòu)成傳送數(shù)據(jù)字符。在傳送過程中,數(shù)據(jù)位從最低有效位開始發(fā)送,依次順序在接受設(shè)備中被轉(zhuǎn)換為并行數(shù)據(jù)。.停止位停止位是一個字符數(shù)據(jù)的結(jié)束標(biāo)志,可以是1位、1.5位或2位的高電平。接收設(shè)備收到停止位后,通信線路上便又恢復(fù)邏輯1狀態(tài),直至下一個字符數(shù)據(jù)的起始位到來。.波特率通信線上傳送的所有位信號都保持一致的信號持續(xù)時間,每一位的信號持續(xù)時間都由數(shù)據(jù)傳送速度確定,這個傳送速度即波特率。波特率的設(shè)置方式見.3中斷中斷系統(tǒng)簡單實(shí)用,其基本特點(diǎn)是:有5個固定的可屏蔽中斷源,3個在片內(nèi),2個在片外,它們在程序存儲器中各有固定的中斷入口地址

51、,由此進(jìn)入中斷服務(wù)程序;5個中斷源有兩級中斷優(yōu)先級,可形成中斷嵌套。中斷系統(tǒng)的結(jié)構(gòu):5個中斷源的符號、名稱及產(chǎn)生的條件如下:INTO:外部中斷0,由P3.2端口線引入,低電平或下跳沿引起。INT1:外部中斷1,由P3.3端口線引入,低電平或下跳沿引起。TO:定時器/計數(shù)器0中斷,由TO計滿回零引起。T1:定時器/計數(shù)器l中斷,由T1計滿回零引起。TI/RI:串行I/O中斷,串行端口完成一幀字符發(fā)送/接收后引起。根據(jù)需要,本設(shè)計只應(yīng)用了TI/RI一個中斷源。串行中斷有發(fā)送(TI)和接收(R1)的區(qū)別;其打開與否,受中斷自身的允許位和全局允許位的控制。89C51有4個用于中斷控制的寄存器IE、IP

52、、TCON(用6位)、SCON(用2位)。下面分別對它們進(jìn)行介紹:中斷允許寄存器一IE:表3-1中斷允許寄存器EAESET1EX1ETOEXOEA:全局中斷允許位。EA=0,關(guān)閉全部中斷;EA=1,打開全局中斷控制,在此條件下,由各個中斷控制位確定相應(yīng)中斷的打開或關(guān)閉。ES:串行I/O中斷允許位。ES=1,打開串行I/O中斷;ES=0,關(guān)閉串行I/O中斷。中斷優(yōu)先寄存器一IP:由于本設(shè)計只應(yīng)用一個中斷源,不用優(yōu)先級設(shè)置,在此不予介紹。T0/T1中斷控制寄存器一TCON:表3-2中斷控制寄存器TF1TR1TF0TR0IE1IT1IE0IT0除了TR1和TR0,其余6個用于中斷控制,由于本設(shè)計中未

53、使用定時器做中斷,在此不予詳細(xì)介紹。因?yàn)樵谠O(shè)計中使用定時器來設(shè)置串行通信的波特率,在此對定時器予以介紹:以上的TR1和TR0用于定時器的啟動;TMOD則用于控制定時器的工作模式,如3-3表所示:表3-3定時器控制寄存器GATEC/TM1M0GATEC/TM1M0由表可見,TMOD的高4位用于T1,低4使用于TO,4種符號的含義如下:GATE:門控制位。GATE和軟件控制位TR、外部引腳信號INT的狀態(tài),共同控制定時器/計數(shù)器的打開或關(guān)閉。C/T:定時器/計數(shù)器選擇位。C/T=1,為計數(shù)器方式;C/T=0,為定時器方式。當(dāng)定時器/計數(shù)器為定時工作方式時,計數(shù)器的加1信號由振蕩器的12分頻信號產(chǎn)生

54、,即每過一個機(jī)器周期,計數(shù)器加1,直至計滿溢出為止。顯然,定時器的定時時間與系統(tǒng)的振蕩頻率有關(guān)。因一個機(jī)器周期等于12個振蕩周期,所以計數(shù)頻率f=1/12fosc。如果晶振為12MHz,則計數(shù)周期為:T=1/(12X106)HzXl/12=l“s;當(dāng)定時器/計數(shù)器為計數(shù)工作方式時,通過引腳T0和T1對外部信號計數(shù),外部脈沖的下降沿將觸發(fā)計數(shù)。計數(shù)器在每個機(jī)器周期的S5P2期間采樣引腳輸入電平。若一個機(jī)器周期采樣值為1,下一個機(jī)器周期采樣值為0,則計數(shù)器加1。此后的機(jī)器周期S3P1期間,新的計數(shù)值裝入計數(shù)器。所以檢測一個由1至0的跳變需要兩個機(jī)器周期,故外部事年的最高計數(shù)頻率為振蕩頻率的1/24

55、。例如,如果選用12MHz晶振,則最高計數(shù)頻率為0.5MHz。雖然對外部輸入信號的占空比無特殊要求,但為了確保某給定電平在變化前至少被采樣一次,外部計數(shù)脈沖的高電平與低電平保持時間均需在一個機(jī)器周期以上5M1M0:工作方式選擇位,定時器/計數(shù)器的4種工作方式由M1M0設(shè)定。如表3-4所示:表3-4工作方式選擇M1M0工作方式功能描述00工作方式013位計數(shù)器01工作方式116位計數(shù)器10工作方式2自動再裝入8位計數(shù)器11工作方式3定時器0:分成兩個8位計數(shù)器;定時器1:停止計數(shù)定時器/計數(shù)器方式控制寄存器TMOD不能進(jìn)行位尋址,只能用字節(jié)傳送指令設(shè)置定時器工作方式,低半字節(jié)定義為定時器0,高半

56、字節(jié)定義為定時器1。復(fù)位時,TMOD所有位均為0。串行中斷單片機(jī)串行接口是一個可編程的全雙工串行通信接口。它可用作異步通信方式(UART),與串行傳送信息的外部設(shè)備相連接。通過管腳RXD(P3.0,串行數(shù)據(jù)接收端)和管腳TXD(P3.1,串行數(shù)據(jù)發(fā)送端)與外界通信。SBUF是串行口緩沖寄存器,包括發(fā)送寄存器和接收寄存器。它們有相同名字和地址空間,但不會出現(xiàn)沖突,因?yàn)樗鼈儍蓚€一個只能被CPU讀出數(shù)據(jù),一個只能被CPU寫入數(shù)據(jù)。串行口的控制與狀態(tài)寄存器為SCON和PCON。SCON用于定義串行口的工作方式及實(shí)施中斷接收和發(fā)送控制。字節(jié)地址為98H,其各位定義如表3-5所示:表3-5串行控制寄存器D

57、7D6D5D4D3D2D1D0SM0SM1SM2RENTB8RB8TIRISM0、SM1:串行口工作方式選擇位,其定義如表3-6所示:表3-6串行口工作方式選擇SM0、SM1工作方式功能描述波特率00方式08位移位寄存器Fosc/1201方式110位UART可變10方式211位UARTFosc/或fosc/3211方式311位UART可變其中fosc為晶體震蕩器頻率。根據(jù)NMEA-0183數(shù)據(jù)格式及設(shè)計要求,選用工作方式1REN:接收允許控制位。由軟件置位以允許接收,又由軟件清0來禁止接收。TI:發(fā)送中斷標(biāo)志。在方式0中,第8位發(fā)送結(jié)束時,由硬件置位。在其它方式的發(fā)送停止位前,由硬件置位。TI

58、置位既表示一幀信息發(fā)送結(jié)束,同時也是申請中斷,可根據(jù)需要,用軟件查詢的辦法獲得數(shù)據(jù)已發(fā)送完畢的信息,或用中斷的方式來發(fā)送下一個數(shù)據(jù)。TI必須用軟件清0。RI:接收中斷標(biāo)志位。在方式0,當(dāng)接收完第8位數(shù)據(jù)后,由硬件置位。在其它方式中,在接收到停止位的中間時刻由硬件置位(例外情況見于SM2的說明)。RI置位表示一幀數(shù)據(jù)接收完畢,可用查詢的辦法獲知或者用中斷的辦法獲知。RI也必須用軟件清0。以上只列出了本設(shè)計所關(guān)心的說明。PC0N是為了在單片機(jī)上實(shí)現(xiàn)電源控制而附加的。其中最高位是SM0D。SM0D=1時,方式1、方式2和方式3的波特率加倍。本設(shè)計SMOD設(shè)置為0。波特率設(shè)置:波特率,即數(shù)據(jù)傳送速率,

59、表示每秒傳送二進(jìn)制代碼的位數(shù),它的單位是b/s。異步通信的傳送速率為5019200b/s.由于本設(shè)計選用工作方式1,這里只介紹方式1的波特率設(shè)置規(guī)則。單片機(jī)的工作方式1一般選擇定時器T1作為波特率發(fā)生器。當(dāng)T1作為波特率發(fā)生器時,通常選用定時器模式2(能夠自動重裝初值定時器),比較實(shí)用。應(yīng)設(shè)置定時器T1為定時方式(C/T=0),讓T1計數(shù)內(nèi)部脈沖,即計數(shù)率為fosc/12。先設(shè)定TH1和TL1定時計數(shù)初值為X,那么每過(256-X)個機(jī)器周期,定時器就會產(chǎn)生一次溢出。因此,T1溢出率=T1計數(shù)率/產(chǎn)生溢出所需的周期數(shù);波特率=定時器T1溢出率3.3液晶顯示協(xié)議3.3.1基本操作時序讀狀態(tài):輸入

60、:RS=L,RW=H,E=H輸出:D0_D7=狀態(tài)字寫指令:輸入:RS=L,RW=L,D0_D7=指令碼,高脈沖輸出:無讀數(shù)據(jù):輸入:RS=H,RW=H,E=H輸出:D0_D7=數(shù)據(jù)寫數(shù)據(jù):輸入:RS=H,RW=L,D0_D7=數(shù)據(jù),E=高脈沖輸出:無3.3.2顯示與控制命令模塊內(nèi)部的字符發(fā)生內(nèi)存(CGROM)已經(jīng)存儲了160個不同的點(diǎn)陣字符圖形,這些字符有:阿拉伯?dāng)?shù)字、英文字母的大小寫、常用的符號、和日文假名等,每一個字符都有一個固定的代碼。1.1602液晶模塊內(nèi)部的控制器共有11條控制指令,它的讀寫操作、屏幕和光標(biāo)的操作都是通過指令編程來實(shí)現(xiàn)。(說明:1為高電平、0為低電平)指令1:清顯示

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論