![西電EDA交通燈報(bào)告完整版_第1頁(yè)](http://file4.renrendoc.com/view/c868e12d464d6713d733566bd1c52c23/c868e12d464d6713d733566bd1c52c231.gif)
![西電EDA交通燈報(bào)告完整版_第2頁(yè)](http://file4.renrendoc.com/view/c868e12d464d6713d733566bd1c52c23/c868e12d464d6713d733566bd1c52c232.gif)
![西電EDA交通燈報(bào)告完整版_第3頁(yè)](http://file4.renrendoc.com/view/c868e12d464d6713d733566bd1c52c23/c868e12d464d6713d733566bd1c52c233.gif)
![西電EDA交通燈報(bào)告完整版_第4頁(yè)](http://file4.renrendoc.com/view/c868e12d464d6713d733566bd1c52c23/c868e12d464d6713d733566bd1c52c234.gif)
![西電EDA交通燈報(bào)告完整版_第5頁(yè)](http://file4.renrendoc.com/view/c868e12d464d6713d733566bd1c52c23/c868e12d464d6713d733566bd1c52c235.gif)
版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、精選優(yōu)質(zhì)文檔-傾情為你奉上精選優(yōu)質(zhì)文檔-傾情為你奉上專(zhuān)心-專(zhuān)注-專(zhuān)業(yè)專(zhuān)心-專(zhuān)注-專(zhuān)業(yè)精選優(yōu)質(zhì)文檔-傾情為你奉上專(zhuān)心-專(zhuān)注-專(zhuān)業(yè)交通控制器實(shí)驗(yàn)報(bào)告目錄 TOC o 1-3 h z u 序言VHDL的英文全寫(xiě)是:VHSIC(VeryHighSpeedIntegratedCircuit)HardwareDescriptionLanguage.翻譯成中文就是超高速集成電路硬件描述語(yǔ)言。因此它的應(yīng)用主要是應(yīng)用在數(shù)字電路的設(shè)計(jì)中。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口VHDL的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程設(shè)計(jì),或稱(chēng)設(shè)計(jì)實(shí)體(可以是一個(gè)元件,一個(gè)電路模塊或一個(gè)系統(tǒng))分成外部(或稱(chēng)可視部分,及端口)
2、和內(nèi)部(或稱(chēng)不可視部分),既涉及實(shí)體的內(nèi)部功能和算法完成部分。隨著基于VHDL的EDA技術(shù)的發(fā)展和應(yīng)用領(lǐng)域的擴(kuò)大與深入,EDA技術(shù)在電子信息、通信、自動(dòng)控制用計(jì)算機(jī)等領(lǐng)域的重要性日益突出。作為一個(gè)學(xué)電子信息專(zhuān)業(yè)的學(xué)生,我們必須不斷地了解更多的新產(chǎn)品信息,這就更加要求我們對(duì)EDA有個(gè)全面的認(rèn)識(shí)。本程序設(shè)計(jì)的是交通燈的設(shè)計(jì)。采用EDA作為開(kāi)發(fā)工具,VHDL語(yǔ)言為硬件描述語(yǔ)言,quartusII作為程序運(yùn)行平臺(tái),所開(kāi)發(fā)的程序通過(guò)調(diào)試運(yùn)行、波形仿真驗(yàn)證,初步實(shí)現(xiàn)了設(shè)計(jì)目標(biāo)在一個(gè)交通繁忙的十字路口,沒(méi)有交通燈來(lái)控制來(lái)往車(chē)輛和行人的通行,假設(shè)也沒(méi)有交警,那會(huì)發(fā)生什么事情呢?后果是難以想象的,可能會(huì)陷入一片
3、混亂,甚至癱瘓。當(dāng)然我們每個(gè)人都不希望這樣。我們作為社會(huì)的一員,每人都有責(zé)任為它的更加先進(jìn)和快捷做出力所能及的事情。本程序設(shè)計(jì)的是交通燈的設(shè)計(jì)。采用EDA作為開(kāi)發(fā)工具,VHDL語(yǔ)言為硬件描述語(yǔ)言,quartusII作為程序運(yùn)行平臺(tái),所開(kāi)發(fā)的程序通過(guò)調(diào)試運(yùn)行、波形仿真驗(yàn)證,實(shí)現(xiàn)設(shè)計(jì)目標(biāo)。我們?cè)O(shè)計(jì)的這個(gè)信號(hào)控制系統(tǒng)可以通過(guò)交通燈控制東西方向車(chē)道和南北方向車(chē)道兩條交叉道路上的車(chē)輛交替運(yùn)行,每次通行時(shí)間都可以根據(jù)實(shí)際情況預(yù)設(shè),用以減少交通事故的發(fā)生概率。并且經(jīng)過(guò)些次實(shí)驗(yàn)使得我們對(duì)電子技術(shù)課程內(nèi)容的理解和掌握有了更深一層的認(rèn)識(shí),掌握電子電路的基本分析方法和設(shè)計(jì)方法。一、設(shè)計(jì)任務(wù)及設(shè)計(jì)要求設(shè)計(jì)一個(gè)十字路口
4、交通控制系統(tǒng),要求如下:(1)東西、南北兩個(gè)方向均有綠燈、黃燈、紅燈指示、,其持續(xù)時(shí)間分別為40秒、5秒、45秒,交通燈運(yùn)行的切換示意圖如圖所示。A綠亮B紅亮A黃亮B紅亮A紅亮B綠亮A紅亮B黃亮40s5s40s5s(2)系統(tǒng)設(shè)有時(shí)鐘,以倒計(jì)時(shí)的方式顯示每一路允許通行的時(shí)間。(3)當(dāng)東西或南北兩路中的任一路出現(xiàn)特殊情況,系統(tǒng)可由交警手動(dòng)控制立即進(jìn)入特殊運(yùn)行狀態(tài),即紅燈全亮,時(shí)鐘停止計(jì)時(shí),東西、南北兩路所有車(chē)輛停止通行;當(dāng)特殊運(yùn)行狀態(tài)結(jié)束后,系統(tǒng)恢復(fù)工作,繼續(xù)正常運(yùn)行。二、原理分析及方案設(shè)計(jì)本系統(tǒng)主要由分頻器、計(jì)數(shù)器、控制器、倒計(jì)時(shí)顯示器等電路組成。總體設(shè)計(jì)框圖如下:分頻器Clk控制器Led燈指示
5、控制信號(hào)倒計(jì)時(shí)計(jì)數(shù)器CRHold譯碼電路七段數(shù)碼管分頻器講晶振送來(lái)的4MHz的信號(hào)變?yōu)?Hz的時(shí)鐘信號(hào);計(jì)數(shù)器實(shí)現(xiàn)90秒的技術(shù),90秒也是交通控制系統(tǒng)的一個(gè)大循環(huán);控制器控制系統(tǒng)的狀態(tài)轉(zhuǎn)移和紅、黃、綠燈的信號(hào)輸出;倒計(jì)時(shí)顯示電路實(shí)現(xiàn)45秒倒計(jì)時(shí)功能和顯示功能。整個(gè)系統(tǒng)的工作時(shí)序受控制器控制,它是系統(tǒng)的核心??刂破鞯恼麄€(gè)工作過(guò)程用狀態(tài)機(jī)進(jìn)行描述,其狀態(tài)轉(zhuǎn)移關(guān)系描述如下:S0:A方向綠燈亮,B方向紅燈亮,此狀態(tài)持續(xù)40秒;S1: A方向黃燈亮,B方向紅燈亮,此狀態(tài)持續(xù)5秒;S2: A方向紅燈亮,B方向綠燈亮,此狀態(tài)持續(xù)40秒;S3: A方向紅燈亮,B方向紅黃燈亮,此狀態(tài)持續(xù)5秒;S4: 緊急制動(dòng)狀
6、態(tài),A方向紅燈亮,B方向紅燈亮,當(dāng)緊急制動(dòng)信號(hào)有效是進(jìn)入此狀態(tài)。交通控制系統(tǒng)的狀態(tài)轉(zhuǎn)移圖如下:A紅亮B紅亮S4A綠亮B紅亮S0 40sA黃亮B紅亮S1 5sA紅亮B黃亮S0 5sA紅亮B綠亮S2 40sHold=0Hold=0Hold=0Hold=0Hold=1Hold=1Hold=1Hold=1當(dāng)緊急制動(dòng)信號(hào)無(wú)效時(shí),狀態(tài)機(jī)按照S0-S1-S2-S3-S0循環(huán);當(dāng)緊急制動(dòng)信號(hào)有效時(shí),狀態(tài)機(jī)立即轉(zhuǎn)入S4,兩個(gè)方向紅燈全亮,計(jì)數(shù)器停止計(jì)數(shù);當(dāng)緊急制動(dòng)信號(hào)再恢復(fù)無(wú)效時(shí),狀態(tài)機(jī)回到原來(lái)的狀態(tài)繼續(xù)執(zhí)行。三、電路設(shè)計(jì)與調(diào)試1.分頻器的設(shè)計(jì)分頻器的邏輯符號(hào)如圖所示。clk為時(shí)鐘輸入信號(hào),clkout為分頻后
7、的時(shí)鐘輸出信號(hào)。分頻器的VHDL描述文件devide.vhd如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity devide isport(clk:in std_logic;clk_out:out std_logic);end devide;architecture arc_devide of devide issignal count:std_logic_vector(25 downto 0);beginprocessbeginwait until clkevent and cl
8、k=1;if(count) thencount=count+1;clk_out=0;elsecount0);clk_out=1;end if;end process;end architecture arc_devide;分頻器devide的vhd文件仿真波形如下:2.控制器的設(shè)計(jì)控制器的邏輯符號(hào)如圖所示:其中,clk為時(shí)鐘輸入信號(hào);hold為緊急制動(dòng)信號(hào);ared、agreen、ayellow、分別為東西方向驅(qū)動(dòng)紅燈、綠燈、黃燈指示的輸出信號(hào);bred、bgreen、byellow分別為南北方向驅(qū)動(dòng)紅燈、綠燈、黃燈指示的輸出信號(hào)??刂破鞯腣HDL描述文件control.vhd如下:libra
9、ry ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity control isport(clk,hold:in std_logic;ared,agreen,ayellow,bred,bgreen,byellow:out std_logic);end control;architecture behaviour of control istype state_type is(s0,s1,s2,s3,s4);signal current_state,next_state:state_type;signal
10、counter:std_logic_vector(6 downto 0);beginsynch:processbeginwait until clkevent and clk=1;if hold=0 then -當(dāng)緊急制動(dòng)信號(hào)有效時(shí),計(jì)數(shù)器停止計(jì)數(shù)counter=counter;else -當(dāng)緊急制動(dòng)信號(hào)無(wú)效時(shí),計(jì)數(shù)器進(jìn)行周期90s的計(jì)數(shù) if counter89 thencounter=counter+1;elsecounter0);end if;end if;end process;process -狀態(tài)機(jī)的狀態(tài)轉(zhuǎn)移描述beginwait until clkevent and clk=1;
11、current_stateif hold=0 thennext_state=s4;elseif counter39 thennext_state=s0;elsenext_stateif hold=0 thennext_state=s4;elseif counter44 thennext_state=s1;elsenext_stateif hold=0 thennext_state=s4;elseif counter84 thennext_state=s2;elsenext_stateif hold=0 thennext_state=s4;elseif counter89 thennext_st
12、ate=s3;elsenext_stateif hold=0 thennext_state=s4;elseif counter39 thennext_state=s0;elsif counter44 thennext_state=s1;elsif counter84 thennext_state=s2;elsif counter89 thennext_stateared=0;agreen=1;ayellow=0;bred=1;bgreen=0;byellowared=0;agreen=0;ayellow=1;bred=1;bgreen=0;byellowared=1;agreen=0;ayel
13、low=0;bred=0;bgreen=1;byellowared=1;agreen=0;ayellow=0;bred=0;bgreen=0;byellowared=1;agreen=0;ayellow=0;bred=1;bgreen=0;byellow=0;end case;end process;end behaviour;控制器control的vhdl文本仿真波形如圖所示:3.倒計(jì)時(shí)計(jì)數(shù)器的設(shè)計(jì)倒計(jì)時(shí)計(jì)數(shù)器的邏輯符號(hào)如圖所示:其中,clk、en、cr分別為時(shí)鐘計(jì)數(shù)使能和清零端,ql3.0、qh3.0、oc分別為BCD碼的個(gè)位十位和進(jìn)位的輸出。Vhdl描述文件m45.vhd如下:libra
14、ry ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity m45 isport(clk:in std_logic;en:in std_logic;cr:in std_logic;ql,qh:out std_logic_vector(3 downto 0);oc:out std_logic);end m45;architecture behav of m45 issignal coul,couh:std_logic_vector(3 downto 0);beginprocess(cr,clk,en)beg
15、inif cr=0 then -異步清零coul=0000;couh=0000;elsif clkevent and clk=1 thenif en=1 thenif(coul=0 and couh=0)then -減法計(jì)到00后,重新置數(shù)44coul=0100;couh=0100;elsif coul=0 then -否則各位計(jì)到0時(shí)置數(shù)為9,十位減1 coul=1001;couh=couh-1;elsecoul=coul-1; -否則個(gè)位減1end if;end if;end if;end process;process(coul,couh)beginif(coul=0 and couh=
16、0)thenoc=1; -減到00時(shí)有借位輸出elseoc=0;end if;end process;ql=coul;qhtmptmptmptmptmptmptmptmptmptmptmptmptmptmptmptmpnull;end case;end process;a=tmp(6);b=tmp(5);c=tmp(4);d=tmp(3);e=tmp(2);f=tmp(1);g=tmp(0);end arc;5.頂層原理圖四、實(shí)驗(yàn)仿真波形圖五心得體會(huì)通過(guò)這次對(duì)交通燈的設(shè)計(jì),我對(duì)EDA技術(shù)、VHDL等系列知識(shí)都有了一定的了解。使用EDA技術(shù)開(kāi)發(fā)頁(yè)面的能力也有了很大提高。課程設(shè)計(jì)對(duì)學(xué)生而言是其對(duì)所學(xué)課程內(nèi)容掌握情況的一次自我驗(yàn)證,從而有著極其重要的意義。通過(guò)課程設(shè)計(jì)能提高學(xué)生對(duì)所學(xué)知識(shí)的綜合應(yīng)用能力,能全面檢查并掌握所學(xué)內(nèi)容,在這學(xué)期的課程設(shè)計(jì)中,在收獲知識(shí)的同時(shí),還收獲了閱歷,收獲了成熟,在此過(guò)程中,我們通過(guò)查找資料,請(qǐng)教老師,以及不懈的努力,不僅培養(yǎng)了獨(dú)立思考、動(dòng)手操作的能力,在各種其它能力上也都有了提高。更重要的是,我們學(xué)會(huì)了很多學(xué)習(xí)
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2024秋七年級(jí)英語(yǔ)上冊(cè) Unit 4 Food and Restaurants Lesson 23 The Corner Store說(shuō)課稿 (新版)冀教版
- 《6的乘法口訣》(說(shuō)課稿)-2024-2025學(xué)年二年級(jí)上冊(cè)數(shù)學(xué)青島版
- 2023三年級(jí)英語(yǔ)下冊(cè) Unit 2 I'm in Class One Grade Three Lesson 7說(shuō)課稿 人教精通版(三起)
- 《2 我們的課余生活》(說(shuō)課稿)-2023-2024學(xué)年四年級(jí)上冊(cè)綜合實(shí)踐活動(dòng)吉美版001
- Unit 2 Different Families 第1課時(shí)(說(shuō)課稿)-2024-2025學(xué)年人教PEP版(2024)英語(yǔ)三年級(jí)上冊(cè)
- 60米短跑 說(shuō)課稿-2023-2024學(xué)年高三上學(xué)期體育與健康人教版必修第一冊(cè)
- 2025關(guān)于質(zhì)押反擔(dān)保合同
- Unit 2 Healthy Lifestyle Using language Listening and Speaking 說(shuō)課稿-2023-2024學(xué)年高中英語(yǔ)人教版(2019)選擇性必修第三冊(cè)
- 長(zhǎng)沙打包箱房施工方案
- 2024-2025學(xué)年高中歷史 第五單元 無(wú)產(chǎn)階級(jí)革命家 第2課 無(wú)產(chǎn)階級(jí)革命導(dǎo)師恩格斯教學(xué)說(shuō)課稿 新人教版選修4
- 心電監(jiān)護(hù)考核標(biāo)準(zhǔn)
- 特種行業(yè)許可證申請(qǐng)表
- 古典芭蕾:基本技巧和術(shù)語(yǔ)
- 有限空間作業(yè)審批表
- 內(nèi)地居民前往香港或者澳門(mén)定居申請(qǐng)表
- DB43-T 2612-2023林下竹蓀栽培技術(shù)規(guī)程
- 三下《動(dòng)物的一生》教材解讀
- 神木市孫家岔鎮(zhèn)神能乾安煤礦礦山地質(zhì)環(huán)境保護(hù)與土地復(fù)墾方案
- 非煤礦山安全應(yīng)急預(yù)案
- 浙江省公安民警心理測(cè)驗(yàn)考試題目
- 一圖看懂《診所備案管理暫行辦法》學(xué)習(xí)解讀課件
評(píng)論
0/150
提交評(píng)論