PCM通信系統(tǒng)的仿真與實現(xiàn)_第1頁
PCM通信系統(tǒng)的仿真與實現(xiàn)_第2頁
PCM通信系統(tǒng)的仿真與實現(xiàn)_第3頁
PCM通信系統(tǒng)的仿真與實現(xiàn)_第4頁
PCM通信系統(tǒng)的仿真與實現(xiàn)_第5頁
已閱讀5頁,還剩17頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、課程設(shè)計報告課程名稱:專業(yè)綜合課程設(shè)計學(xué)生:學(xué)號:-專業(yè)班級:通信1001指導(dǎo)教師:毛小燕完成時間:2013年6月14日報告成績:評閱意見:評閱教師日期摘要本課題是PCM通信系統(tǒng)的仿真與實現(xiàn),根據(jù)原理框圖設(shè)計出了電路圖,實 現(xiàn)了對PCM編譯碼電路的設(shè)計,采用 SystemView軟件對其進行仿真,得到了 所需要的仿真結(jié)果,并到達(dá)了預(yù)期的仿真效果,得出了相關(guān)波形。SystemView仿真軟件可以實現(xiàn)多層次的通信系統(tǒng)仿真。脈沖編碼調(diào)制PCM是現(xiàn)代語音通信中數(shù)字化的重要編碼方式。利用SystemView實現(xiàn)脈沖編碼調(diào)制(PCM)仿真,可以為硬件電路實現(xiàn)提供理論依據(jù)。本次課程設(shè)計將通過 仿真展示PCM

2、編碼實現(xiàn)的設(shè)計思路及具體過程,并加以進行分析。關(guān)鍵詞:PCM ;通信系統(tǒng);SystemViewAbstractThis topic is PCM com muni cati on system simulatio n and impleme ntatio n, according to the principle diagram to design the circuit diagram, implementation of PCM encoding decoding circuit design, use SystemView software carries on the simulati

3、on, the need of the simulation results, and achieved the desired effect of the simulatio n, the releva nt waveform is obta in ed.SystemView simulatio n software can realize the multi-level com muni cati on system simulation. Pulse code modulation (PCM) is a modern important encoding digital voice co

4、m muni cati on. Use SystemView realize simulatio n of pulse code modulation (PCM) can provide theoretical basis for the hardware circuit implementation. This course design will show through the simulation design ideas and specific process of PCM en cod ing impleme ntati on, and an alysis.Keywords: P

5、CM ; com muni catio n system; SystemView目錄 TOC o 1-5 h z HYPERLINK l bookmark8 o Current Document 第一章 緒論 0. HYPERLINK l bookmark10 o Current Document 課程設(shè)計任務(wù)與目的 0. HYPERLINK l bookmark12 o Current Document 任務(wù): 0. HYPERLINK l bookmark14 o Current Document 目的: 0. HYPERLINK l bookmark16 o Current Docume

6、nt 課程設(shè)計要求 0. HYPERLINK l bookmark18 o Current Document 設(shè)計方案 0. HYPERLINK l bookmark20 o Current Document 第二章 理論基礎(chǔ) 2. HYPERLINK l bookmark22 o Current Document PCM 系統(tǒng)結(jié)構(gòu) 2. HYPERLINK l bookmark24 o Current Document PCM 調(diào)制原理 2.抽樣 2. HYPERLINK l bookmark28 o Current Document 量化 2.編碼 4. HYPERLINK l bookm

7、ark32 o Current Document 第三章 硬件設(shè)計 7.3.1晶振-分頻器 1 7.8 HYPERLINK l bookmark34 o Current Document 幀同步信號產(chǎn)生器 8. HYPERLINK l bookmark36 o Current Document PCM 編譯碼器 9. HYPERLINK l bookmark38 o Current Document 第四章 軟件設(shè)計 1.0. HYPERLINK l bookmark40 o Current Document SystemView 的簡介 10 HYPERLINK l bookmark42 o

8、 Current Document 仿真電路模塊 1.0. HYPERLINK l bookmark44 o Current Document 信號源子系統(tǒng) 1.1 HYPERLINK l bookmark46 o Current Document 編碼器模塊 1.1 HYPERLINK l bookmark48 o Current Document 譯碼器模塊 1.2 HYPERLINK l bookmark50 o Current Document 仿真結(jié)果 1.3.結(jié)論 1.5.參考文獻(xiàn) 1.6.致謝 1.7.附錄 PCM 編碼器的電路圖 1.8.第一章 緒論課程設(shè)計任務(wù)與目的任務(wù):PC

9、M 是現(xiàn)代語言通信中數(shù)字化的重要編碼方式。利用 SystemView 實現(xiàn) PCM 仿真,并對結(jié)果進行分析。目的:1穩(wěn)固加深對通信的基本知識分析及 PCM 語音通信系統(tǒng)的理解提高綜合 運用通信知識的能力;(2) 培養(yǎng)學(xué)生查閱參考文獻(xiàn),獨立思考、設(shè)計、鉆研電子技術(shù)相關(guān)的問題 的能力;3通過實際制作安裝電子線路,學(xué)習(xí)單元電路以及整機電路的調(diào)試與分 析方法;4掌握相關(guān)電子線路工程技術(shù)標(biāo)準(zhǔn)以及常規(guī)電子元器件的性能技術(shù)指標(biāo); 5了解電氣圖國家標(biāo)準(zhǔn)以及電氣制圖國家標(biāo)準(zhǔn), 并利用電子 CAD 正確繪 制電路圖;6培養(yǎng)嚴(yán)肅認(rèn)真的工作作風(fēng)與科學(xué)態(tài)度,建立嚴(yán)謹(jǐn)?shù)墓こ碳夹g(shù)觀念; 7培養(yǎng)工程實際能力、創(chuàng)新能力和綜合設(shè)

10、計能力。課程設(shè)計要求(1) 畫出通信系統(tǒng)的原理框圖 ,說明系統(tǒng)中主要組成系統(tǒng)的功能。 2根據(jù)選用的軟件編好用于系統(tǒng)仿真的測試文件。3進行硬件實驗。4獨立完成課程設(shè)計報告,嚴(yán)禁報告內(nèi)容雷同。 5電路圖中的圖形符號必須符合國家或國際標(biāo)準(zhǔn)。6所有電路圖的制作應(yīng)采用電子 CAD 正確繪制。1.2 設(shè)計方案第一種方案采用的是 MATLAB 的 Simulink 對 PCM 通信系統(tǒng)進行仿真 Matlab 是一種交互式的、 以矩陣為基礎(chǔ)的軟件開發(fā)環(huán)境 ,它用于科學(xué)和工程的計算與可視化。 Matlab 的編程功能簡單 ,并且很容易擴展和創(chuàng)造新的命令與函 數(shù)。應(yīng)用 Matlab 可方便地解決復(fù)雜數(shù)值計算問題。

11、 Matlab 具有強大的 Simulink 動態(tài)仿真環(huán)境 ,可以實現(xiàn)可視化建模和多工作環(huán)境間文件互用和數(shù)據(jù)交換。 Simulink 支持連續(xù)、離散及兩者混合的線性和非線性系統(tǒng),也支持多種采樣速率的多速率系統(tǒng) ;Simulink 為用戶提供了用方框圖進行建模的圖形接口 ,它與傳統(tǒng)的 仿真軟件包用差分方程和微分方程建模相比,更直觀、方便和靈活。用戶可以在Matlab 和 Simulink 兩種環(huán)境下對自己的模型進行仿真、分析和修改。用于實現(xiàn) 通信仿真的通信工具包 (Communication toolbox,也叫 Commlib,通信工具箱)是 Matlab 語言中的一個科學(xué)性工具包 ,提供通信

12、領(lǐng)域中計算、研究模擬發(fā)展、系統(tǒng) 設(shè)計和分析的功能 ,可以在 Matlab 環(huán)境下獨立使用 ,也可以配合 Simulink 使用。另 外,Matlab的圖形界面功能 GUI Graphical User In terface能為仿真系統(tǒng)生成一 個人機交互界面, 便于仿真系統(tǒng)的操作。 因此, Matlab 在通信系統(tǒng)仿真中得到了 廣泛應(yīng)用。第二種方案是使用System View對PCM通信系統(tǒng)進行仿真 隨著電腦技術(shù)的發(fā)展, 系統(tǒng)仿真技術(shù)在電子工程領(lǐng)域的應(yīng)用已越來越廣泛, 信號級系統(tǒng)仿真軟件SystemView的出現(xiàn)標(biāo)志著仿真技術(shù)在通信領(lǐng)域的應(yīng)用到達(dá) 了一個新的水平。SystemView 可以快速建

13、立和修改系統(tǒng),并在對話框內(nèi)快速訪問和調(diào)整參 數(shù),實時修改實時顯示。只需簡單用鼠標(biāo)點擊圖符即可創(chuàng)建連續(xù)線性系統(tǒng)、 DSP 濾波器, 并輸入輸出基于真實系統(tǒng)模型的仿真數(shù)據(jù)。 不用寫一行代碼即可建立 用戶習(xí)慣的子系統(tǒng)庫 MetaSystem。 SystemView 圖標(biāo)庫包括幾百種信號源、 接收端、操作符和功能塊,提供從 DSP、通信、信號處理、自動控制、直到構(gòu)造 通用數(shù)學(xué)模型等的應(yīng)用。信號源和接收端圖標(biāo)允許在SystemView內(nèi)部生成和分析信號,并提供可外部處理的各種文件格式和輸入 /輸出數(shù)據(jù)接口。本次課程設(shè)計采用的是第二種設(shè)計方案利用SystemView對PCM通信系統(tǒng)的仿真第二章理論基礎(chǔ)2.

14、1 PCM系統(tǒng)結(jié)構(gòu)PCM即脈沖編碼調(diào)制,在通信系統(tǒng)中完成將語音信號數(shù)字化的功能,是把 模擬信號數(shù)字化傳輸?shù)幕痉椒ㄖ?。PCM的實現(xiàn)主要包括三個步驟:抽樣、 量化和編碼。這三個步驟分別完成時間上離散、幅度上離散以及量化信號的二進 制表示,把一個時間連續(xù)、取值連續(xù)的模擬信號變換成時間離散、 取值離散的數(shù) 字信號,然后在信道中進行傳輸。接收機將收到的數(shù)字信號經(jīng)再生、譯碼、平滑 后恢復(fù)出原始的模擬信號。2.2 PCM調(diào)制原理221抽樣所謂抽樣,就是對模擬信號進行周期性掃描,把時間上連續(xù)的信號變成時間上離散的信號。該模擬信號經(jīng)過抽樣后還應(yīng)當(dāng)包含原信號中所有信息,也就是說能無失真的恢復(fù)原模擬信號。它的抽

15、樣速率的下限是由抽樣定理確定的。2.2.2量化從數(shù)學(xué)上來看,量化就是把一個連續(xù)幅度值的無限數(shù)集合映射成一個離散幅 度值的有限數(shù)集合。如圖1.2.2-1所示,量化器Q輸出L個量化值yk,k=1, 2,3,,L。yk常稱為重建電平或量化電化器輸入信號幅度 x落在Xk與Xk 1平。當(dāng)量化器輸入信號幅度x落在Xk與Xk 1之間時,量化器輸出電平為yk。這個量化過程可以表達(dá)為:y Q(x) Q xk x兀1Yk,k 1,2,3,,L 2.1這里Xk稱為分層電平或判決閾值。通常kXk稱為量化間隔。模擬入Xk 1圖2.2模擬信號的量化模擬信號的量化分為均勻量化和非均勻量化。由于均勻量化存在的主要缺點是:無論

16、抽樣值大小如何,量化噪聲的均方根值都固定不變。因此,當(dāng)信號m(t)較小時,則信號量化噪聲功率比也就很小, 這樣,對于弱信號時的量化信噪比就難以到達(dá)給定的要求。通常,把滿足信噪比要求的輸入信號取值范圍定義為動態(tài) 范圍,可見,均勻量化時的信號動態(tài)范圍將受到較大的限制。為了克服這個缺點,實際中,往往采用非均勻量化。非均勻量化是根據(jù)信號的不同區(qū)間來確定量化間隔的。對于信號取值小的區(qū)間,其量化間隔 V也??;反之,量化間隔就大。它與均勻量化相比,有兩個突 出的優(yōu)點。首先,當(dāng)輸入量化器的信號具有非均勻分布的概率密度實際中常常是這樣時,非均勻量化器的輸出端可以得到較高的平均信號量化噪聲功率比; 其次,非均勻量

17、化時,量化噪聲功率的均方根值基本上與信號抽樣值成比例。因此量化噪聲對大、小信號的影響大致相同,即改善了小信號時的量化信噪比。實際中,非均勻量化的實際方法通常是將抽樣值通過壓縮再進行均勻量化。通常使用的壓縮器中,大多采用對數(shù)式壓縮。廣泛采用的兩種對數(shù)壓縮律是 壓 縮律和A壓縮律。美國采用 壓縮律,我國和歐洲各國均采用 A壓縮律,因此, PCM編碼方式采用的也是A壓縮律。所謂A壓縮律也就是壓縮器具有如下特性的壓縮律:y,0 X 2.21 In AAy J,丄 x 1 2.31 In A AA律壓擴特性是連續(xù)曲線,A值不同壓擴特性亦不同,在電路上實現(xiàn)這樣的 函數(shù)規(guī)律是相當(dāng)復(fù)雜的。實際中,往往都采用近

18、似于A律函數(shù)規(guī)律的13折線A=87.6的壓擴特性。這樣,它基本上保持了連續(xù)壓擴特性曲線的優(yōu)點,又便 于用數(shù)字電路實現(xiàn),本設(shè)計中所用到的 PCM編碼正是采用這種壓擴特性來進行 編碼的。表1列出了 13折線時的X值與計算X值的比較。表1中第二行的X值是根據(jù) A 87.6時計算得到的,第三行的x值是13折線分段時的值。可見,13折線各段 落的分界點與A 87.6曲線十分逼近,同時X按2的幕次分割有利于數(shù)字化。表1y0182838485868781x01128160.6130.6115.417.7913.9311.981按折線分段時的x011281641321161814121段落12345678斜率

19、161684211214223編碼所謂編碼就是把量化后的信號變換成代碼,其相反的過程稱為譯碼。當(dāng)然, 這里的編碼和譯碼與過失控制編碼和譯碼是完全不同的,前者是屬于信源編碼的 范疇。在現(xiàn)有的編碼方法中,假設(shè)按編碼的速度來分,大致可分為兩大類:低速編 碼和高速編碼。通信中一般都米用第二類。編碼器的種類大體上可以歸結(jié)為三類: 逐次比較型、折疊級聯(lián)型、混合型。在逐次比較型編碼方式中,無論采用幾位碼, 一般均按極性碼、段落碼、段內(nèi)碼的順序排列。下面結(jié)合13折線的量化來加以說明。在13折線法中,無論輸入信號是正是負(fù),均按 8段折線8個段落進行 編碼。假設(shè)用8位折疊二進制碼來表示輸入信號的抽樣量化值, 其中

20、用第一位表 示量化值的極性,其余七位第二位至第八位則表示抽樣量化值的絕對大小。 具體的做法是:用第二至第四位表示段落碼,它的8種可能狀態(tài)來分別代表8個 段落的起點電平。其它四位表示段內(nèi)碼,它的16種可能狀態(tài)來分別代表每一段落的16個均勻劃分的量化級。這樣處理的結(jié)果,8個段落被劃分成27= 128個量 化級。段落碼和8個段落之間的關(guān)系如表2所示;段內(nèi)碼與16個量化級之間的 關(guān)系見表3。段落序號段落碼81117110610151004011301020011000表2段落碼量化級段內(nèi)碼151111141110131101121100111011101010910018100070111601105

21、01014010030011200101000100000表3段內(nèi)碼PCM編譯碼器的實現(xiàn)可以借鑒單片 PCM編碼器集成芯片,如:MC14550、 CD22357等。單芯片工作時只需給出外圍的時序電路即可實現(xiàn),考慮到實現(xiàn)細(xì) 節(jié),仿真時將PCM編譯碼器分為編碼器和譯碼器模塊分別實現(xiàn)。本實驗系統(tǒng)選擇MC145503芯片作為PCM編譯碼器,它把編譯碼器Codec 和濾波器(Filter)集成在一個芯片上,功能比較強,它既可以進行 A律變換,也可 以進行u律變換,它的數(shù)據(jù)既可用固定速率傳送,也可用變速率傳送,它既可以 傳輸信令幀也可以選擇它傳送無信令幀,并且還可以控制它處于低功耗備用狀 態(tài),到底使用它的

22、什么功能可由用戶通過一些控制來選擇。MC145503可以組成模擬用戶線與程控交換設(shè)備間的接口,包含有話音 A律編解碼器。自調(diào)零邏輯。 話音輸入放大器、RC濾波器、開關(guān)電容低通濾波器、話音推挽功放等功能單元。MC145003具有完整的話音到PCM和PCM到話音的A律壓擴編解碼功能。它的編碼和解碼工作既可同時進行,也可異步進行第三章硬件設(shè)計由PCM編譯碼原理框圖可知,輸入端經(jīng)過一個晶振和一個分頻器1得出的信號在經(jīng)過一個分頻器2,然后進行信號抽樣后輸出,此后,幀同步信號進入幀 同步產(chǎn)生器然后進入復(fù)接器,正弦信號源AE分別進入兩個PCM編碼器AE進行編譯得到源基帶信號。PCM編譯碼原理框圖如圖3.1所

23、示:圖3.1 PCM編譯碼原理框圖在實現(xiàn)PCM編碼器中使用晶振是為系統(tǒng)提供基本的時鐘信號,讓其通過一個分頻器,對較高頻率的時鐘信號進行分頻操作,從而得到較低的頻率信號。如圖3.2所示:將分頻器1所得的信號再一次進分頻處理,然后進行信號抽樣得到抽樣信號如圖3.3所示:3.3幀同步信號產(chǎn)生器產(chǎn)生幀同步信號進入復(fù)接器進行復(fù)接產(chǎn)生新的信號,如圖3.4所示:3.4 PCM編譯碼器將經(jīng)過復(fù)接器復(fù)接過來的信號和正弦信號源進行編碼處理后得到脈沖信號 輸出。本實驗系統(tǒng)選擇MC14550芯片作為PCM編譯碼器,它把編譯碼器Codec 和濾波器(Filter)集成在一個芯片上,功能比較強,它既可以進行A律變換,也可

24、 以進行u律變換,它的數(shù)據(jù)既可用固定速率傳送,也可用變速率傳送,它既可以 傳輸信令幀也可以選擇它傳送無信令幀,并且還可以控制它處于低功耗備用狀 態(tài)。如圖3.5所示:PJ6ST?TF-XT)PJN-PTESTORIN-PhF L lT-nTOn12r-IFK圖3.5 PCM編碼器電路圖第四章軟件設(shè)計4.1 SystemView 的簡介SystemView是一個信號級的系統(tǒng)仿真軟件,主要用于電路與通信系統(tǒng)的設(shè)計、仿真,是一個強有力的動態(tài)系統(tǒng)分析工具,能滿足從數(shù)字信號處理、濾波 器設(shè)計、直到復(fù)雜的通信系統(tǒng)等不同層次的設(shè)計、仿真要求。SystemView以模塊化和交互式的界面,在大家熟悉的 Windo

25、ws窗口環(huán)境下,為用戶提供了一個 嵌入式的分析引擎。使用 SystemView你只需要關(guān)心項目的設(shè)計思想和過程,而 不必花費大量的時間去編程建立系統(tǒng)仿真模型。用戶只需使用鼠標(biāo)器點擊圖標(biāo)即可完成復(fù)雜系統(tǒng)的建模、設(shè)計和測試, 而不必學(xué)習(xí)復(fù)雜的電腦程序編制, 也不必 擔(dān)憂程序中是否存在編程錯誤。在SystemView環(huán)境下,可以構(gòu)造各種復(fù)雜的模擬,數(shù)字,數(shù)模混合系統(tǒng)和 各種速率的系統(tǒng),可用于線性或非線性控制系統(tǒng)的設(shè)計和仿真。SystemView包括基本庫和專業(yè)庫。基本庫包括信號源,接收器,加法器,乘法器,函數(shù)庫和算 子庫等。專業(yè)庫包括通信,邏輯,數(shù)字信號處理庫,射頻/模擬,支持高級語言的用戶代碼庫,

26、自動程序生成庫,數(shù)字視頻廣播,自適應(yīng)濾波器庫等。SV的各種專業(yè)庫特別適合于現(xiàn)代通信系統(tǒng)的設(shè)計,仿真和方案論證。隨著通信技術(shù)的不 斷發(fā)展,通信系統(tǒng)越來越復(fù)雜,設(shè)計和仿真難度也隨之加大,利用SystemView可以十分方便地完成相應(yīng)的通信系統(tǒng)設(shè)計和仿真。仿真電路模塊整個電路由信號源子系統(tǒng)、編碼器模塊和譯碼器模塊構(gòu)成。 其總體電路如以下圖421所示: n 3f=R421信號源子系統(tǒng)信號源子系統(tǒng)由三個幅度相同、 頻率不同的正弦信號圖符7、8 9構(gòu)成,用來產(chǎn)生信號,其結(jié)構(gòu)如以下圖 422所示:an : Si: SJ ! Ik v Im w aril圖422信號源子系統(tǒng)4.2.2編碼器模塊PCM編碼器模塊

27、主要由低通濾波器圖符 15、瞬時壓縮器圖符16、A/D轉(zhuǎn)換器圖符8、并/串轉(zhuǎn)換器圖符10、輸出端子構(gòu)成圖符9,實 現(xiàn)模型如以下圖423所示:信源信號經(jīng)過PCM編碼器低通濾波器圖符15完成信號頻帶過濾,由 于PCM量化采用非均勻量化,還要使用瞬時壓縮器實現(xiàn) A律壓縮后再進行均勻 量化,A/D轉(zhuǎn)換器圖符8完成采樣及量化,由于A/D轉(zhuǎn)換器的輸出是并行數(shù) 據(jù),必須通過數(shù)據(jù)選擇器圖符 10完成并/串轉(zhuǎn)換成串行數(shù)據(jù),最后通過圖符 9輸出PCM編碼信號。組件功能:1低通濾波器:為實現(xiàn)信號的語音頻率特性,考慮到濾波器在通帶和阻帶之間的過渡,采用了低通濾波器,而沒有設(shè)計帶通濾波器。為實現(xiàn)信號在 300Hz 34

28、00Hz的語音頻帶內(nèi),在這里采用了一個階數(shù)為3階的切比雪夫濾波器,其具有在通帶內(nèi)等波紋、阻帶內(nèi)單調(diào)的特性。2瞬時壓縮器:瞬時壓縮器圖符16使用了我國現(xiàn)采用A律壓縮,注 意在譯碼時擴張器也應(yīng)采用 A律解壓。比照壓縮前后時域信號見圖 6,圖7, 明顯看到對數(shù)壓縮時小信號明顯放大, 而大信號被壓縮,從而提高了小信號的信 噪比,這樣可以使用較少位數(shù)的量化滿足語音傳輸?shù)男枰?A/D轉(zhuǎn)換器:完成經(jīng)過瞬時壓縮后信號時間及幅度的離散,通常認(rèn)為 語音的頻帶在300Hz 3400Hz,根據(jù)低通采樣定理,采樣頻率應(yīng)大于信號最高頻 率兩倍以上,在這里 A/D的采樣頻率為8Hz即可滿足,均勻量化電平數(shù)為 256 級量

29、化,編碼用8bit表示,其中第一位為極性表示,這樣產(chǎn)生了 64kbit/s的語音 壓縮編碼。4數(shù)據(jù)選擇器:圖符10為帶使能端的8路數(shù)據(jù)選擇器,與74151功能相 同,在這里完成A/D轉(zhuǎn)換后的數(shù)據(jù)的并/串轉(zhuǎn)換,圖符11、12、13為選擇控制端, 在這里控制輪流輸出并行數(shù)據(jù)為串行數(shù)據(jù)。通過數(shù)據(jù)選擇器還可以實現(xiàn)碼速轉(zhuǎn)換 功能。4.2.3譯碼器模塊PCM譯碼器是實現(xiàn)PCM編碼的逆系統(tǒng)。PCM譯碼器模塊主要由ADC出 來的PCM數(shù)據(jù)輸出端、D/A轉(zhuǎn)換器、瞬時擴張器、低通濾波器構(gòu)成。實現(xiàn)模型 如以下圖4.2-3所示:組件功能:1D/A轉(zhuǎn)換器(圖符1):用來實現(xiàn)與A/D轉(zhuǎn)換相反的過程,實現(xiàn)數(shù)字量轉(zhuǎn) 化為模擬

30、量,從而到達(dá)譯碼最基本的要求,也就是最起碼要有步驟。2瞬時擴張器圖符8:實現(xiàn)與瞬時壓縮器相反的功能,由于采用A律 壓縮,擴張也必須采用A律瞬時擴張器。3低通濾波器圖符3:由于采樣脈沖不可能是理想沖激函數(shù)會引入孔徑失真,量化時也會帶來量化噪聲,及信號再生時引入的定時抖動失真, 需要對 再生信號進行幅度及相位的補償,同時濾除高頻分量,在這里使用與編碼模塊中 相同的低通濾波器4.3仿真結(jié)果用SystemView軟件進行仿真得到以下波形:1信號源的波形:birUK J2信號源經(jīng)壓縮后的波形北4_乩血訶匚X匕 IHh i a-1*33 33 PCM編碼的波形:4 PCM譯碼時經(jīng)過D/A轉(zhuǎn)化并用A律擴張后

31、的輸出波形:5譯碼后恢復(fù)源信號的輸出波形:=w;; Sink Ji由以上等波形可以看出:在 PCM編碼的過程中,譯碼輸出的波形具有一定 的延遲現(xiàn)象,但其波形基本上不失真地在接收端得到恢復(fù), 傳輸?shù)倪^程中實現(xiàn)了 數(shù)字化的傳輸過程。結(jié)論 首先,必須根據(jù)實際情況合理的設(shè)計采樣頻率和抽樣脈沖的參數(shù),以防波 形的失真,由于在剛開始的時候 ,沒有合理設(shè)置采樣頻率的參數(shù) ,出現(xiàn)了在譯碼時 恢復(fù)波形的失真,最后根據(jù)采樣頻率fs大于等于2fH條件,通過不斷調(diào)試,最終可以 合理地恢復(fù)源信號波形。 但由于在信道傳輸過程中由于各種原因而引起譯碼波形 有一定的延時現(xiàn)象。在調(diào)試帶使能端的 8路數(shù)據(jù)選擇器在實現(xiàn) PCM 編碼輸出的并行數(shù)據(jù)轉(zhuǎn)換 為串行數(shù)據(jù)輸出時, 起初由于沒有合理應(yīng)用選擇控制端, 而導(dǎo)致數(shù)據(jù)輸出毫無規(guī) 律,即八路數(shù)據(jù)當(dāng)中隨機地從哪一路輸出, 最后通過設(shè)置頻率不同的三路脈沖方 波作用于選擇控

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論