基于單片機(jī)的轉(zhuǎn)速測(cè)量系統(tǒng)_第1頁(yè)
基于單片機(jī)的轉(zhuǎn)速測(cè)量系統(tǒng)_第2頁(yè)
基于單片機(jī)的轉(zhuǎn)速測(cè)量系統(tǒng)_第3頁(yè)
基于單片機(jī)的轉(zhuǎn)速測(cè)量系統(tǒng)_第4頁(yè)
基于單片機(jī)的轉(zhuǎn)速測(cè)量系統(tǒng)_第5頁(yè)
已閱讀5頁(yè),還剩46頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 第 PAGE 51 頁(yè) 共 NUMPAGES 51頁(yè)基于單片機(jī)的轉(zhuǎn)速測(cè)量系統(tǒng)設(shè)計(jì)【摘要】 介紹了一種基于AT89C51單片機(jī)平臺(tái),采用光電傳感器實(shí)施電機(jī)轉(zhuǎn)速測(cè)量的方法,硬件系統(tǒng)包括脈沖信號(hào)產(chǎn)生、脈沖信號(hào)處理和顯示模塊,并采用C語(yǔ)言編程,結(jié)果表明該方法具有簡(jiǎn)單、精度高、穩(wěn)定性好的優(yōu)點(diǎn)。介紹了該測(cè)速法的基本原理、實(shí)現(xiàn)步驟和軟硬件設(shè)計(jì)【關(guān)鍵詞】轉(zhuǎn)速測(cè)量; 單片機(jī); 光電傳感器;電機(jī);脈沖。1.概述1.1 數(shù)字式轉(zhuǎn)速測(cè)量系統(tǒng)的發(fā)展背景 目前國(guó)內(nèi)外測(cè)量電機(jī)轉(zhuǎn)速的方法很多,按照不同的理論方法,先后產(chǎn)生過(guò)模擬測(cè)速法(如離心式轉(zhuǎn)速表、用電機(jī)轉(zhuǎn)矩或者電機(jī)電樞電動(dòng)勢(shì)計(jì)算所得)、同步測(cè)速法(如機(jī)械式或閃光式頻閃測(cè)

2、速儀)以及計(jì)數(shù)測(cè)速法。計(jì)數(shù)測(cè)速法又可分為機(jī)械式定時(shí)計(jì)數(shù)法和電子式定時(shí)計(jì)數(shù)法。傳統(tǒng)的電機(jī)轉(zhuǎn)速檢測(cè)多采用測(cè)速發(fā)電機(jī)或光電數(shù)字脈沖編碼器,也有采用電磁式(利用電磁感應(yīng)原理或可變磁阻的霍爾元件等)、電容式(對(duì)高頻振蕩進(jìn)行幅值調(diào)制或頻率調(diào)制)等,還有一些特殊的測(cè)速器是利用置于旋轉(zhuǎn)體內(nèi)的放射性材料來(lái)發(fā)生脈沖信號(hào)其中應(yīng)用最廣的是光電式,光電式測(cè)系統(tǒng)具有低慣性、低噪聲、高分辨率和高精度的優(yōu)點(diǎn)加之激光光源、光柵、光學(xué)碼盤、CCD 器件、光導(dǎo)纖維等的相繼出現(xiàn)和成功應(yīng)用,使得光電傳感器在檢測(cè)和控制領(lǐng)域得到了廣泛的應(yīng)用。而采用光電傳感器的電機(jī)轉(zhuǎn)速測(cè)量系統(tǒng)測(cè)量準(zhǔn)確度高、采樣速度快、測(cè)量范圍寬和測(cè)量精度與被測(cè)轉(zhuǎn)速無(wú)關(guān)等優(yōu)

3、點(diǎn),具有廣闊的應(yīng)用前景。1.2 本設(shè)計(jì)課題的目的和意義 在工程實(shí)踐中,經(jīng)常會(huì)遇到各種需要測(cè)量轉(zhuǎn)速的場(chǎng)合, 例如在發(fā)動(dòng)機(jī)、電動(dòng)機(jī)、卷?yè)P(yáng)機(jī)、機(jī)床主軸等旋轉(zhuǎn)設(shè)備的試驗(yàn)、運(yùn)轉(zhuǎn)和控制中,常需要分時(shí)或連續(xù)測(cè)量和顯示其轉(zhuǎn)速及瞬時(shí)轉(zhuǎn)速。要測(cè)速,首先要解決是采樣問(wèn)題。在使用模技術(shù)制作測(cè)速表時(shí),常用測(cè)速發(fā)電機(jī)的方法,即將測(cè)速發(fā)電機(jī)的轉(zhuǎn)軸與待測(cè)軸相連,測(cè)速發(fā)電機(jī)的電壓高低反映了轉(zhuǎn)速的高低。為了能精確地測(cè)量轉(zhuǎn)速外,還要保證測(cè)量的實(shí)時(shí)性,要求能測(cè)得瞬時(shí)轉(zhuǎn)速方法。因此轉(zhuǎn)速的測(cè)試具有重要的意義。 這次設(shè)計(jì)內(nèi)容包含知識(shí)全面,對(duì)傳感器測(cè)量發(fā)電機(jī)轉(zhuǎn)速的不同的方法及原理設(shè)計(jì)有較多介紹,在測(cè)量系統(tǒng)中能學(xué)到關(guān)于測(cè)量轉(zhuǎn)速的傳感器采樣問(wèn)題

4、,單片機(jī)部分的內(nèi)容,顯示部分等各個(gè)模塊的通信和聯(lián)調(diào)。全面了解單片機(jī)和信號(hào)放大的具體內(nèi)容。進(jìn)一步鍛煉我們?cè)谛盘?hào)采集,處理,顯示發(fā)面的實(shí)際工作能力。2.轉(zhuǎn)速測(cè)量系統(tǒng)的原理2.1轉(zhuǎn)速測(cè)量方法轉(zhuǎn)速是指作圓周運(yùn)動(dòng)的物體在單位時(shí)間內(nèi)所轉(zhuǎn)過(guò)的圈數(shù),其大小及變化往往意味著機(jī)器設(shè)備運(yùn)轉(zhuǎn)的正常與否,因此,轉(zhuǎn)速測(cè)量一直是工業(yè)領(lǐng)域的一個(gè)重要問(wèn)題。按照不同的理論方法,先后產(chǎn)生過(guò)模擬測(cè)速法(如離心式轉(zhuǎn)速表) 、同步測(cè)速法(如機(jī)械式或閃光式頻閃測(cè)速儀) 以及計(jì)數(shù)測(cè)速法。計(jì)數(shù)測(cè)速法又可分為機(jī)械式定時(shí)計(jì)數(shù)法和電子式定時(shí)計(jì)數(shù)法。本文介紹的采用單片機(jī)和光電傳感器組成的高精度轉(zhuǎn)速測(cè)量系統(tǒng),其轉(zhuǎn)速測(cè)量方法采用的就是電子式定時(shí)計(jì)數(shù)法。對(duì)

5、轉(zhuǎn)速的測(cè)量實(shí)際上是對(duì)轉(zhuǎn)子旋轉(zhuǎn)引起的周期脈沖信號(hào)的頻率進(jìn)行測(cè)量。在頻率的工程測(cè)量中,電子式定時(shí)計(jì)數(shù)測(cè)量頻率的方法一般有三種:測(cè)頻率法:在一定時(shí)間間隔t 內(nèi),計(jì)數(shù)被測(cè)信號(hào)的重復(fù)變化次數(shù)N ,則被測(cè)信號(hào)的頻率fx 可表示為f x =Nt(1)測(cè)周期法:在被測(cè)信號(hào)的一個(gè)周期內(nèi),計(jì)數(shù)時(shí)鐘脈沖數(shù)m0 ,則被測(cè)信號(hào)頻率fx = fc/ m0 ,其中, fc 為時(shí)鐘脈沖信號(hào)頻率。多周期測(cè)頻法:在被測(cè)信號(hào)m1 個(gè)周期內(nèi), 計(jì)數(shù)時(shí)鐘脈沖數(shù)m2 ,從而得到被測(cè)信號(hào)頻率fx ,則fx 可以表示為fx =m1 fcm2, m1 由測(cè)量準(zhǔn)確度確定。電子式定時(shí)計(jì)數(shù)法測(cè)量頻率時(shí),其測(cè)量準(zhǔn)確度主要由兩項(xiàng)誤差來(lái)決定:一項(xiàng)是時(shí)基誤

6、差;另一項(xiàng)是量化1誤差。當(dāng)時(shí)基誤差小于量化1 誤差一個(gè)或兩個(gè)數(shù)量級(jí)時(shí),這時(shí)測(cè)量準(zhǔn)確度主要由量化1 誤差來(lái)確定。對(duì)于測(cè)頻率法,測(cè)量相對(duì)誤差為:Er1 =測(cè)量誤差值實(shí)際測(cè)量值100 % =1N100 % (2)由此可見(jiàn),被測(cè)信號(hào)頻率越高, N 越大, Er1就越小,所以測(cè)頻率法適用于高頻信號(hào)(高轉(zhuǎn)速信號(hào)) 的測(cè)量。對(duì)于測(cè)周期法,測(cè)量相對(duì)誤差為:Er2 =測(cè)量誤差值實(shí)際測(cè)量值100 % =1m0100 % (3)對(duì)于給定的時(shí)鐘脈沖fc , 當(dāng)被測(cè)信號(hào)頻率越低時(shí),m0 越大, Er2就越小,所以測(cè)周期法適用于低頻信號(hào)(低轉(zhuǎn)速信號(hào)) 的測(cè)量。對(duì)于多周期測(cè)頻法,測(cè)量相對(duì)誤差為:Er3 =測(cè)量誤差值實(shí)際測(cè)量

7、值100%=1m2100 % (4) 從上式可知,被測(cè)脈沖信號(hào)周期數(shù)m1 越大, m2 就越大,則測(cè)量精度就越高。它適用于高、低頻信號(hào)(高、低轉(zhuǎn)速信號(hào)) 的測(cè)量。但隨著精度和頻率的提高, 采樣周期將大大延長(zhǎng),并且判斷m1 也要延長(zhǎng)采樣周期,不適合實(shí)時(shí)測(cè)量。根據(jù)以上的討論,考慮到實(shí)際應(yīng)用中需要測(cè)量的轉(zhuǎn)速范圍很寬,上述的轉(zhuǎn)速測(cè)量方法難以滿足要求,因此,研究高精度的轉(zhuǎn)速測(cè)量方法,以同時(shí)適用于高、低轉(zhuǎn)速信號(hào)的測(cè)量,不僅具有重要的理論意義,也是實(shí)際生產(chǎn)中的需要。2.2轉(zhuǎn)速測(cè)量原理一般的轉(zhuǎn)速長(zhǎng)期測(cè)量系統(tǒng)是預(yù)先在軸上安裝一個(gè)有60 齒的測(cè)速齒盤,用變磁阻式或電渦流式傳感器獲得一轉(zhuǎn)60 倍轉(zhuǎn)速脈沖,再用測(cè)頻的

8、辦法實(shí)現(xiàn)轉(zhuǎn)速測(cè)量。而臨時(shí)性轉(zhuǎn)速測(cè)量系統(tǒng),多采用光電傳感器,從轉(zhuǎn)軸上預(yù)先粘貼的一個(gè)標(biāo)志上獲得一轉(zhuǎn)一個(gè)轉(zhuǎn)速脈沖,隨后利用電子倍頻器和測(cè)頻方法實(shí)現(xiàn)轉(zhuǎn)速測(cè)量。不論長(zhǎng)期或臨時(shí)轉(zhuǎn)速測(cè)量,都可以在微處理器的參與下,通過(guò)測(cè)量轉(zhuǎn)軸上預(yù)留的一轉(zhuǎn)一齒的鑒相信號(hào)或光電信號(hào)的周期,換算出轉(zhuǎn)軸的頻率或轉(zhuǎn)速。即通過(guò)速度傳感器,將轉(zhuǎn)速信號(hào)變?yōu)殡娒}沖,利用微機(jī)在單位時(shí)間內(nèi)對(duì)脈沖進(jìn)行計(jì)數(shù),再經(jīng)過(guò)軟件計(jì)算獲得轉(zhuǎn)速數(shù)據(jù)。即:n=N/ (mT) (1)n 轉(zhuǎn)速、單位:轉(zhuǎn)/ 分鐘;N 采樣時(shí)間內(nèi)所計(jì)脈沖個(gè)數(shù);T采樣時(shí)間、單位:分鐘;m 每旋轉(zhuǎn)一周所產(chǎn)生的脈沖個(gè)數(shù)(通常指測(cè)速碼盤的齒數(shù)) 。如果m=60, 那么1 秒鐘內(nèi)脈沖個(gè)數(shù)N就是轉(zhuǎn)速

9、n, 即:n=N/ (mT) =N/60 1/60=N (2)通常m為60。在對(duì)轉(zhuǎn)速波動(dòng)較快系統(tǒng)或要求動(dòng)態(tài)特性好而精度高的轉(zhuǎn)速測(cè)控系統(tǒng)中,調(diào)節(jié)周期一般很短,相應(yīng)的采樣周期需取得很小,使得脈沖當(dāng)量增高,從而導(dǎo)致整個(gè)系統(tǒng)測(cè)量精度降低,難以滿足測(cè)控要求。提高采樣速率通常就要減小采樣時(shí)間T, 而T 的減小會(huì)使采到的脈沖數(shù)值N 下降,導(dǎo)致脈沖當(dāng)量(每個(gè)脈沖所代表的轉(zhuǎn)速) 增高,從而使得測(cè)量精度變得粗糙。通過(guò)增加測(cè)速碼盤的齒數(shù)可以提高精度,但是碼盤齒數(shù)的增加會(huì)受到加工工藝的限制,同時(shí)會(huì)使轉(zhuǎn)速測(cè)量脈沖的頻率增高,頻率的提升又會(huì)受到傳感器中光電器或磁敏器或磁電器件最高工作頻率的限制。凡此種種因素限制了常規(guī)智能

10、轉(zhuǎn)速測(cè)量方法的使用范圍。而采用本文所提出的定時(shí)分時(shí)雙頻率采樣法,可在保證采樣精度的同時(shí),提高采樣速率,充分發(fā)揮微機(jī)智能測(cè)速方法的優(yōu)越性及靈活性。 圖2.1 系統(tǒng)原理圖 各部分模塊的功能:傳感器:用來(lái)對(duì)信號(hào)的采樣。放大、整形電路:對(duì)傳感器送過(guò)來(lái)的信號(hào)進(jìn)行放大和整形,在送入單片機(jī)進(jìn)行數(shù)據(jù)的處理轉(zhuǎn)換。單片機(jī):對(duì)處理過(guò)的信號(hào)進(jìn)行轉(zhuǎn)換成轉(zhuǎn)速的實(shí)際值,送入LEDLED顯示:用來(lái)對(duì)所測(cè)量到的轉(zhuǎn)速進(jìn)行顯示。3.系統(tǒng)方案提出和論證 轉(zhuǎn)速測(cè)量的方案選擇,一般要考慮傳感器的結(jié)構(gòu)、安裝以及測(cè)速范圍與環(huán)境條件等方面的適用性;再就是二次儀表的要求,除了顯示以外還有控制、通訊和遠(yuǎn)傳方面的要求。本說(shuō)明書(shū)中給出兩種轉(zhuǎn)速測(cè)量方案

11、,經(jīng)過(guò)我和伙伴查資料、構(gòu)思和自己的設(shè)計(jì),總體電路我們有兩套設(shè)計(jì)方案,部分重要模塊也考慮了其它設(shè)計(jì)方法,經(jīng)過(guò)分析,從實(shí)現(xiàn)難度、熟悉程度、器件用量等方面綜合考慮,我們才最終選擇了一個(gè)方案。下面就看一下我們對(duì)兩套設(shè)計(jì)方案的簡(jiǎn)要說(shuō)明。方案一:霍爾傳感器測(cè)量方案霍爾傳感器是利用霍爾效應(yīng)進(jìn)行工作的?其核心元件是根據(jù)霍爾效應(yīng)原理制成的霍爾元件。本文介紹一種泵驅(qū)動(dòng)軸的轉(zhuǎn)速采用霍爾轉(zhuǎn)速傳感器測(cè)量。霍爾轉(zhuǎn)速傳感器的結(jié)構(gòu)原理圖如圖3.1, 霍爾轉(zhuǎn)速傳感器的接線圖如圖3.2 。傳感器的定子上有2 個(gè)互相垂直的繞組A 和B, 在繞組的中心線上粘有霍爾片HA 和HB ,轉(zhuǎn)子為永久磁鋼,霍爾元件HA 和HB 的激勵(lì)電機(jī)分別

12、與繞組A 和B 相連,它們的霍爾電極串聯(lián)后作為傳感器的輸出。 圖3.1 霍爾轉(zhuǎn)速傳感器的結(jié)構(gòu)原理圖 圖3.2方案霍爾轉(zhuǎn)速傳感器的接線圖缺點(diǎn):采用霍爾傳感器在信號(hào)采樣的時(shí)候,會(huì)出現(xiàn)采樣不精確,因?yàn)樗强看判愿袘?yīng)才采集脈沖的,使用時(shí)間長(zhǎng)了會(huì)出現(xiàn)磁性變小,影響脈沖的采樣精度。方案二: 光電傳感器 整個(gè)測(cè)量系統(tǒng)的組成框圖如圖3.3所示。從圖中可見(jiàn),轉(zhuǎn)子由一直流調(diào)速電機(jī)驅(qū)動(dòng),可實(shí)現(xiàn)大轉(zhuǎn)速范圍內(nèi)的無(wú)級(jí)調(diào)速。轉(zhuǎn)速信號(hào)由光電傳感器拾取,使用時(shí)應(yīng)先在轉(zhuǎn)子上做好光電標(biāo)記,具體辦法可以是:將轉(zhuǎn)子表面擦干凈后用黑漆(或黑色膠布) 全部涂黑,再將一塊反光材料貼在其上作為光電標(biāo)記,然后將光電傳感器(光電頭) 固定在正對(duì)光

13、電標(biāo)記的某一適當(dāng)距離處。光電頭采用低功耗高亮度LED ,光源為高可靠性可見(jiàn)紅光,無(wú)論黑夜還是白天,或是背景光強(qiáng)有大范圍改變都不影響接收效果。光電頭包含有前置電路,輸出05V的脈沖信號(hào)。接到單片機(jī)89C51的相應(yīng)管腳上,通過(guò)89C51內(nèi)部定時(shí)/計(jì)時(shí)器T0、T1及相應(yīng)的程序設(shè)計(jì),組成一個(gè)數(shù)字式轉(zhuǎn)速測(cè)量系統(tǒng)。 圖3.3 測(cè)量系統(tǒng)的組成框圖優(yōu)點(diǎn):這種方案使用光電轉(zhuǎn)速傳感器具有采樣精確,采樣速度快,范圍廣的特點(diǎn)。綜上所述,方案二使用光電傳感器來(lái)作為本設(shè)計(jì)的最佳選擇方案。4.系統(tǒng)硬件設(shè)計(jì)隨著超大規(guī)模集成電路技術(shù)提高,尤其是單片機(jī)應(yīng)用技術(shù)以及功能強(qiáng)大,價(jià)格低廉的顯著特點(diǎn),是全數(shù)字化測(cè)量轉(zhuǎn)度系統(tǒng)得一廣泛應(yīng)用。

14、出于單片機(jī)在測(cè)量轉(zhuǎn)速方面具有體積小、性能強(qiáng)、成本低的特點(diǎn),越來(lái)越受到企業(yè)用戶的青睞。對(duì)測(cè)量轉(zhuǎn)速系統(tǒng)的硬件和編程進(jìn)行研究,設(shè)計(jì)出一種以單片機(jī)為主的轉(zhuǎn)速測(cè)量系統(tǒng),保證了測(cè)量精度。4.1 轉(zhuǎn)速信號(hào)采集在設(shè)計(jì)中采用光電傳感器采集信號(hào),這種傳感器是把旋轉(zhuǎn)軸的轉(zhuǎn)速變?yōu)橄鄳?yīng)頻率的脈沖,然后用測(cè)量電路測(cè)出頻率,由頻率值就可知道所側(cè)轉(zhuǎn)素值。這種測(cè)量方法具有傳感器結(jié)構(gòu)簡(jiǎn)單、可靠、測(cè)量精度高的特點(diǎn)。是目前常用的一種測(cè)量轉(zhuǎn)速的方法。從光源發(fā)出的光通過(guò)測(cè)速齒盤上的齒槽照射到光電元件上,使光電元件感光。測(cè)速齒盤上有30個(gè)齒槽,當(dāng)測(cè)速齒槽旋轉(zhuǎn)一周,光敏元件就能感受與開(kāi)孔數(shù)相等次數(shù)的光次數(shù)。對(duì)于被測(cè)電機(jī)的轉(zhuǎn)速在901700r

15、/min的來(lái)說(shuō),每轉(zhuǎn)一周產(chǎn)生30個(gè)電脈沖信號(hào),因此,傳感器輸出波形的頻率的大小為: 45Hzf850Hz (1)測(cè)速齒盤裝在發(fā)射光源(紅外線發(fā)光二極管)與接收光源的裝置(紅外線接收二極管)之間,紅外線發(fā)光二極管(規(guī)格IR3401)負(fù)責(zé)發(fā)出光信號(hào),紅外線接收三極管(規(guī)格3DU12)負(fù)責(zé)接收發(fā)出的光信號(hào),產(chǎn)生電信號(hào),每轉(zhuǎn)過(guò)一個(gè)齒,光的明暗變化經(jīng)歷了一個(gè)正弦周期,即產(chǎn)生了正弦脈沖電信號(hào)。圖4.1所示為轉(zhuǎn)速傳感器電路,由于紅外光不可見(jiàn),無(wú)法用肉眼識(shí)別發(fā)光信號(hào)是否在工作,故將紅外線的輸出回路串接了一個(gè)普通光電二極管作為判別光源發(fā)生回路是否為通路。所選用的紅外二極管IR3401,在正向工作電流為20mA時(shí)

16、,其導(dǎo)通電壓為1.21.5V,所選用的發(fā)光二極管的正向壓降一般為1.52.0V,電流為10-20Ma。R的計(jì)算公式為:計(jì)算得:Rmin=425;Rmin=465。設(shè)定中所選阻值為430(RminRRmax)。 轉(zhuǎn)速傳感器輸出電壓幅度在01.6mV呈正弦波變化,由此可見(jiàn),紅外線接收三極管的光信號(hào)轉(zhuǎn)化為電信號(hào)的電壓Uo很微弱(一般為mV量級(jí)),需要進(jìn)行信號(hào)處理. 圖4.1 轉(zhuǎn)速傳感器電路圖 (1) 光電傳感器是應(yīng)用非常廣泛的一種器件,有各種各樣的形式,如透射式、反射式等,基本的原理就是當(dāng)發(fā)射管光照射到接收管時(shí),接收管導(dǎo)通,反之關(guān)斷。以透射式為例,如圖4.1所示,當(dāng)不透光的物體擋住發(fā)射與接收之間的間

17、隙時(shí),開(kāi)關(guān)管關(guān)斷,否則打開(kāi)。為此,可以制作一個(gè)遮光葉片如圖4.2 所示,安裝在轉(zhuǎn)軸上,當(dāng)扇葉經(jīng)過(guò)時(shí),產(chǎn)生脈沖信號(hào)。當(dāng)葉片數(shù)較多時(shí),旋轉(zhuǎn)一周可以獲得多個(gè)脈沖信號(hào)。 圖4.2光電傳感器的原理圖 圖4.3 遮光葉片(2)選用的傳感器型號(hào)為SZGB-3(單向)SZGB-3型傳感器特點(diǎn)介紹如下: 1)供單向計(jì)數(shù)器使用,測(cè)量轉(zhuǎn)速和線速度.2)采用密封結(jié)構(gòu)性能穩(wěn)定.3)光源用紅外發(fā)光管,功耗小,壽命長(zhǎng).4) SZGB-3, 20電源電壓為12V DC SZGB-3型傳感器主要性能介紹如下:SZGB-3.型光電轉(zhuǎn)速傳感器,使用時(shí)通過(guò)連軸節(jié)與被測(cè)轉(zhuǎn)軸連接,當(dāng)轉(zhuǎn)軸旋轉(zhuǎn)時(shí),將轉(zhuǎn)角位移轉(zhuǎn)換成電脈沖信號(hào),供二次儀表計(jì)數(shù)

18、使用。1)輸出脈沖數(shù):60脈沖(每一轉(zhuǎn))2)輸出信號(hào)幅值:50r/min時(shí)300mV3)測(cè)速范圍:505000r/min4)使用時(shí)間:可連續(xù)使用,使用中勿需加潤(rùn)滑油5)工作環(huán)境:溫度-1040,相對(duì)濕度85%無(wú)腐蝕性氣體 4.2轉(zhuǎn)速信號(hào)處理電路設(shè)計(jì) 轉(zhuǎn)速信號(hào)處理電路包括信號(hào)放大電路、整形及三極管整形電路。由于產(chǎn)生的電壓信號(hào)很小,所以要進(jìn)行放大處理,一般要放大至少1000倍(60dB),然后在進(jìn)行信號(hào)處理工作。信號(hào)放大裝置選用運(yùn)算放大器TL084作為放大電壓放大元件,采用兩級(jí)放大電路,每一級(jí)都采用反響比例運(yùn)算電路如圖4.4.設(shè)計(jì)的電壓放大倍數(shù)為3000倍。其中第一級(jí)放大倍數(shù)為30,第二級(jí)放大倍數(shù)

19、為100.放大后電壓變化范圍為04.8V。TL084采用12V雙電源供電,由于電源的供電電壓在一定范圍內(nèi)有副值上的波動(dòng),形成干擾信號(hào)。為起到消除干擾,實(shí)現(xiàn)濾波作用,故供電電源兩端需接10UF的電容接地,電容選擇金屬化聚丙已烯膜電容。兩級(jí)運(yùn)放放大所采用的供電電源均采用此接法。圖4.4信號(hào)處理電路圖整形電路的主要作用是將正弦波信號(hào)轉(zhuǎn)化為方波脈沖信號(hào),正弦波信號(hào)電壓的最大幅值約為4.8V,最小幅值為0V。整形電路設(shè)計(jì)的是一種滯回電壓比較器,它具有慣性,起到抗干擾的作用。從而向輸入端輸入的滯回比較器。在整形電路的輸入端接一個(gè)電容C7(103),起到的作用是阻止其他信號(hào)的干擾,并且將放大的信號(hào)進(jìn)行濾波,

20、解耦。R11和R17是防止電路短路,起到保護(hù)電路的作用。一次整形后的信號(hào)基本上為5V的電平的脈沖信號(hào),在脈沖計(jì)數(shù)時(shí),常用的是+5V的脈沖信號(hào)。如果直接采用-5V的脈沖計(jì)數(shù),會(huì)增加電路的復(fù)雜性,故一般不直接使用,而是先進(jìn)行二次整形。第二次用三極管整形電路,當(dāng)輸出為-5V的信號(hào)時(shí),三極管VT2(8050)的基-射極和電阻R18組成并聯(lián)電路電流經(jīng)過(guò)R18.R17,三極管VT2處于反向偏置狀態(tài),所以,VT2的集-射極未接通,故處于截止?fàn)顟B(tài)。電源回路由R19,三極管VT2的集-射極組成,采用單電源+12V供電,由于集射極截止,處于斷路狀態(tài),故輸出電壓U0為V。當(dāng)?shù)谝淮握屋敵鰹?5V的信號(hào)時(shí),三極管VT

21、2基-射極處于正向偏置狀態(tài),有電流I通過(guò),故此時(shí)三極管的集-射極處于通路狀態(tài)。電源電流流經(jīng)電阻R19,三極管的集-射極到地端,由于集-射極導(dǎo)通時(shí)的電阻很小,可以忽略不計(jì)。電源電壓主要在R19上,其輸出電壓約為0V。綜上所述,三極管整形的電路的輸入關(guān)系是:信號(hào)為-5V時(shí),U0=+12V;信號(hào)為+5V時(shí),U0=0V。4.3單片機(jī)AT89C51介紹AT89C51是一種帶4K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器(FPEROMFalsh Programmable and Erasable Read Only Memory)的低電壓,高性能CMOS8位微處理器,俗稱單片機(jī)。該器件采用ATMEL高密度非易失存儲(chǔ)器

22、制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的MCS-51指令集和輸出管腳相兼容。由于將多功能8位CPU和閃爍存儲(chǔ)器組合在單個(gè)芯片中,ATMEL的AT89C51是一種高效微控制器,為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價(jià)廉的方案。 圖4.5是常用的一種單片機(jī),型號(hào)為AT89C51,它將計(jì)算機(jī)的功能都集成到這個(gè)芯片內(nèi)部去了,就這么一個(gè)小小的芯片就能構(gòu)成一臺(tái)小型的電腦,因此叫做單片機(jī)。圖4.5 AT89C51芯片 它有40個(gè)管腳,分成兩排,每一排各有20個(gè)腳,其中左下角標(biāo)有箭頭的為第1腳,然后按逆時(shí)針?lè)较蛞来螢榈?腳、第3腳第40腳。在40個(gè)管腳中,其中有32個(gè)腳可用于各種控制,比如控制小燈的亮與滅、控制電機(jī)的正轉(zhuǎn)

23、與反轉(zhuǎn)、控制電梯的升與降等,這32個(gè)腳叫做單片機(jī)的“端口”,在單片機(jī)技術(shù)中,每個(gè)端口都有一個(gè)特定的名字,比如第一腳的那個(gè)端口叫做“P1.0”。AT89C51單片機(jī)的功能:1主要特性:與MCS-51 兼容 4K字節(jié)可編程閃爍存儲(chǔ)器 壽命:1000寫/擦循環(huán)數(shù)據(jù)保留時(shí)間:10年全靜態(tài)工作:0Hz-24Hz三級(jí)程序存儲(chǔ)器鎖定128*8位內(nèi)部RAM32可編程I/O線兩個(gè)16位定時(shí)器/計(jì)數(shù)器5個(gè)中斷源 可編程串行通道低功耗的閑置和掉電模式片內(nèi)振蕩器和時(shí)鐘電路 2管腳說(shuō)明(圖4.7):圖4.7 AT89C51管腳分布VCC:供電電壓,GND:接地。 P0口:P0口為一個(gè)8位漏級(jí)開(kāi)路雙向I/O口,每腳可吸收

24、8TTL門電流。當(dāng)P1口的管腳第一次寫1時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時(shí),P0 口作為原碼輸入口,當(dāng)FIASH進(jìn)行校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部必須被拉高。P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗(yàn)時(shí),P1口作為第八位地址接收。 P2口:P2口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個(gè)TTL門電流,當(dāng)P2口被寫

25、“1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地址“1”時(shí),它利用內(nèi)部上拉優(yōu)勢(shì),當(dāng)對(duì)外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫時(shí),P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控制信號(hào)。 P3口:P3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個(gè)TTL門電流。當(dāng)P3口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL)這是由于上拉的

26、緣故。 P3口也可作為AT89C51的一些特殊功能口。P3口管腳備選功能:P3.0 RXD(串行輸入口)P3.1 TXD(串行輸出口)P3.2 /INT0(外部中斷0)P3.3 /INT1(外部中斷1)P3.4 T0(記時(shí)器0外部輸入)P3.5 T1(記時(shí)器1外部輸入)P3.6 /WR(外部數(shù)據(jù)存儲(chǔ)器寫選通)P3.7 /RD(外部數(shù)據(jù)存儲(chǔ)器讀選通)P3口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。ALE/PROG:當(dāng)訪問(wèn)外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程

27、脈沖。在平時(shí),ALE端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的1/6。因此它可用作對(duì)外部輸出的脈沖或用于定時(shí)目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過(guò)一個(gè)ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置0。此時(shí), ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無(wú)效。PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī)器周期兩次/PSEN有效。但在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/PSEN信號(hào)將不出現(xiàn)。EA/VPP:當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器(000

28、0H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/EA將內(nèi)部鎖定為RESET;當(dāng)/EA端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。XTAL2:來(lái)自反向振蕩器的輸出。3振蕩器特性:XTAL1和XTAL2分別為反向放大器的輸入和輸出。該反向放大器可以配置為片內(nèi)振蕩器。石晶振蕩和陶瓷振蕩均可采用。如采用外部時(shí)鐘源驅(qū)動(dòng)器件,XTAL2應(yīng)不接。有余輸入至內(nèi)部時(shí)鐘信號(hào)要通過(guò)一個(gè)二分頻觸發(fā)器,因此對(duì)外部時(shí)鐘信號(hào)的脈寬無(wú)任何要求,但必須保證脈沖的高低電平要求的寬度。4芯片擦除:整個(gè)

29、PEROM陣列和三個(gè)鎖定位的電擦除可通過(guò)正確的控制信號(hào)組合,并保持ALE管腳處于低電平10ms 來(lái)完成。在芯片擦操作中,代碼陣列全被寫“1”且在任何非空存儲(chǔ)字節(jié)被重復(fù)編程以前,該操作必須被執(zhí)行。此外,AT89C51設(shè)有穩(wěn)態(tài)邏輯,可以在低到零頻率的條件下靜態(tài)邏輯,支持兩種軟件可選的掉電模式。在閑置模式下,CPU停止工作。但RAM,定時(shí)器,計(jì)數(shù)器,串口和中斷系統(tǒng)仍在工作。在掉電模式下,保存RAM的內(nèi)容并且凍結(jié)振蕩器,禁止所用其他芯片功能,直到下一個(gè)硬件復(fù)位為止。4.4 最小系統(tǒng)的設(shè)計(jì)4.4.1復(fù)位電路(圖4.8):MCS-51單片機(jī)復(fù)位電路是指單片機(jī)的初始化操作。單片機(jī)啟運(yùn)運(yùn)行時(shí),都需要先復(fù)位,其

30、作用是使CPU和系統(tǒng)中其他部件處于一個(gè)確定的初始狀態(tài),并從這個(gè)狀態(tài)開(kāi)始工作。因而,復(fù)位是一個(gè)很重要的操作方式。但單片機(jī)本身是不能自動(dòng)進(jìn)行復(fù)位的,必須配合相應(yīng)的外部電路才能實(shí)現(xiàn)。圖4.8復(fù)位電路復(fù)位功能: 復(fù)位電路的基本功能是:系統(tǒng)上電時(shí)提供復(fù)位信號(hào),直至系統(tǒng)電源穩(wěn)定后,撤銷復(fù)位信號(hào)。為可靠起見(jiàn),電源穩(wěn)定后還要經(jīng)一定的延時(shí)才撤銷復(fù)位信號(hào),以防電源開(kāi)關(guān)或電源插頭分-合過(guò)程中引起的抖動(dòng)而影響復(fù)位。單片機(jī)的復(fù)位是由外部的復(fù)位電路來(lái)實(shí)現(xiàn)的。片內(nèi)復(fù)位電路是復(fù)位引腳RST通過(guò)一個(gè)斯密特觸發(fā)器與復(fù)位電路相連,斯密特觸發(fā)器用來(lái)抑制噪聲,它的輸出在每個(gè)機(jī)器周期的S5P2,由復(fù)位電路采樣一次。復(fù)位電路通常采用上電自

31、動(dòng)復(fù)位(如圖4.9 (a))和按鈕復(fù)位(如圖4.9(b)兩種方式。圖4.9 RC復(fù)位電路單片機(jī)復(fù)位后的狀態(tài): 單片機(jī)的復(fù)位操作使單片機(jī)進(jìn)入初始化狀態(tài),其中包括使程序計(jì)數(shù)器PC0000H,這表明程序從0000H地址單元開(kāi)始執(zhí)行。單片機(jī)冷啟動(dòng)后,片內(nèi)RAM為隨機(jī)值,運(yùn)行中的復(fù)位操作不改變片內(nèi)RAM區(qū)中的內(nèi)容,21個(gè)特殊功能寄存器復(fù)位后的狀態(tài)為確定值,見(jiàn)表1。 值得指出的是,記住一些特殊功能寄存器復(fù)位后的主要狀態(tài),對(duì)于了解單片機(jī)的初態(tài),減少應(yīng)用程序中的初始化部分是十分必要的。 說(shuō)明:表4-1中符號(hào)*為隨機(jī)狀態(tài):表4-1 寄存器復(fù)位后狀態(tài)表特殊功能寄存器初始狀態(tài)特殊功能寄存器初始狀態(tài)ABPSW00H0

32、0H00HTMODTCONTH000H00H00HSPDPLDPHP0P3IPIE07H00H00HFFH*00000B0*00000BTL0TH1TL1SBUFSCONPCON00H00H00H不定00H0*BPSW00H,表明選寄存器0組為工作寄存器組; SP07H,表明堆棧指針指向片內(nèi)RAM 07H字節(jié)單元,根據(jù)堆棧操作的先加后壓法則,第一個(gè)被壓入的內(nèi)容寫入到08H單元中;Po-P3FFH,表明已向各端口線寫入1,此時(shí),各端口既可用于輸入又可用于輸出 。IP00000B,表明各個(gè)中斷源處于低優(yōu)先級(jí); IE000000B,表明各個(gè)中斷均被關(guān)斷; 系統(tǒng)復(fù)位是任何微機(jī)系統(tǒng)執(zhí)行的第一步,使整個(gè)控

33、制芯片回到默認(rèn)的硬件狀態(tài)下。51單片機(jī)的復(fù)位是由RESET引腳來(lái)控制的,此引腳與高電平相接超過(guò)24個(gè)振蕩周期后,51單片機(jī)即進(jìn)入芯片內(nèi)部復(fù)位狀態(tài),而且一直在此狀態(tài)下等待,直到RESET引腳轉(zhuǎn)為低電平后,才檢查EA引腳是高電平或低電平,若為高電平則執(zhí)行芯片內(nèi)部的程序代碼,若為低電平便會(huì)執(zhí)行外部程序。51單片機(jī)在系統(tǒng)復(fù)位時(shí),將其內(nèi)部的一些重要寄存器設(shè)置為特定的值,至于內(nèi)部RAM內(nèi)部的數(shù)據(jù)則不變。4.4.2 晶振電路 晶振(圖4.10)是晶體振蕩器的簡(jiǎn)稱,在電氣上它可以等效成一個(gè)電容和一個(gè)電阻并聯(lián)再串聯(lián)一個(gè)電容的二端網(wǎng)絡(luò),電工學(xué)上這個(gè)網(wǎng)絡(luò)有兩個(gè)諧振點(diǎn),以頻率的高低分其中較低的頻率是串聯(lián)諧振,較高的頻

34、率是并聯(lián)諧振。AT89C51單片機(jī)內(nèi)部有一個(gè)用于構(gòu)成振蕩器的高增益反相放大器。引腳XTAL1和XTAL2分別是此放大器的輸入端和輸出端。這個(gè)放大器與作為反饋元件的片外晶體諧振器一起構(gòu)成一個(gè)自激振蕩器。外接晶體諧振器以及電容C1和C2構(gòu)成并聯(lián)諧振電路,接在放大器的反饋回路中。對(duì)外接電容的值雖然沒(méi)有嚴(yán)格的要求,但電容的大小會(huì)影響震蕩器頻率的高低、震蕩器的穩(wěn)定性、起振的快速性和溫度的穩(wěn)定性。因此,此系統(tǒng)電路的晶體振蕩器的值為12MHz,電容應(yīng)盡可能的選擇陶瓷電容,電容值約為30F。在焊接刷電路板時(shí),晶體振蕩器和電容應(yīng)盡可能安裝得與單片機(jī)芯片靠近,以減少寄生電容,更好地保證震蕩器穩(wěn)定和可靠地工作。晶體

35、振蕩電路如圖3-6:晶振有一個(gè)重要的參數(shù),那就是負(fù)載電容值,選擇與負(fù)載電容值相等的并聯(lián)電容,就可以得到晶振標(biāo)稱的諧振頻率。 圖4.10晶振電路4.4.3 最小系統(tǒng)的仿真最小系統(tǒng)的仿真圖4.11圖4.11 最小系統(tǒng)的仿真附最小系統(tǒng)仿真程序如下:#include sbit LED=P10; /定義LED接P1.0口/void Delay () /延時(shí)函數(shù)/unsigned char i,j; for(i=255;i0;i-) for(j=255;j0;j-);void main () while(1) LED=0; / LED滅/ Delay (); /返回延時(shí)函數(shù)/ LED=1; /LED亮/

36、Delay (); /反回延時(shí)函數(shù)/ 4.5顯示部分設(shè)計(jì) (1)許多電子產(chǎn)品上都有跳動(dòng)的數(shù)碼來(lái)指示電器的工作狀態(tài),其實(shí)數(shù)碼管顯示的數(shù)碼均是由八個(gè)發(fā)光二極管構(gòu)成的。每段上加上合適的電壓,該段就點(diǎn)亮。LED數(shù)碼有共陽(yáng)和共陰兩種,把這些LED發(fā)光二極管的正極接到一塊(一般是拼成一個(gè)8字加一個(gè)小數(shù)點(diǎn))而作為一個(gè)引腳,就叫共陽(yáng)的,相反的,就叫共陰的,那么應(yīng)用時(shí)這個(gè)腳就分別的接VCC和GND。再把多個(gè)這樣的8字裝在一起就成了多位的數(shù)碼管了。實(shí)物如圖4.12 圖4.12 數(shù)碼管共陽(yáng)型(圖4.13)就是八個(gè)發(fā)光管的正極都連在一起 ,作為一條引線.AG段用于顯示數(shù)字,字符的筆畫,(dp顯示小數(shù)點(diǎn)),每一段控制A

37、Gdp的亮與來(lái)。 內(nèi)部結(jié)構(gòu):圖4.13 共陽(yáng)型LCD共陰型(圖4.14)就是七個(gè)發(fā)光管的負(fù)極都連在一起 ,作為一條引線。AG段用于顯示數(shù)字,字符的筆畫,(dp顯示小數(shù)點(diǎn)),每一段控制AGdp的亮與來(lái).內(nèi)部結(jié)構(gòu):4.14 共陰型LCD 數(shù)碼管要正常顯示,就要用驅(qū)動(dòng)電路來(lái)驅(qū)動(dòng)數(shù)碼管的各個(gè)段碼,從而顯示出我們要的數(shù)字,因此根據(jù)數(shù)碼管的驅(qū)動(dòng)方式的不同,可以分為靜態(tài)式和動(dòng)態(tài)式兩類。靜態(tài)顯示驅(qū)動(dòng):靜態(tài)驅(qū)動(dòng)也稱直流驅(qū)動(dòng)。靜態(tài)驅(qū)動(dòng)是指每個(gè)數(shù)碼管的每一個(gè)段碼都由一個(gè)單片機(jī)的I/O端口進(jìn)行驅(qū)動(dòng),或者使用如BCD碼二-十進(jìn)制譯碼器譯碼進(jìn)行驅(qū)動(dòng)。靜態(tài)驅(qū)動(dòng)的優(yōu)點(diǎn)是編程簡(jiǎn)單,顯示亮度高,缺點(diǎn)是占用I/O端口多,如驅(qū)動(dòng)5個(gè)

38、數(shù)碼管靜態(tài)顯示則需要5840根I/O端口來(lái)驅(qū)動(dòng),要知道一個(gè)89S51單片機(jī)可用的I/O端口才32個(gè)呢:),實(shí)際應(yīng)用時(shí)必須增加譯碼驅(qū)動(dòng)器進(jìn)行驅(qū)動(dòng),增加了硬件電路的復(fù)雜性。動(dòng)態(tài)顯示驅(qū)動(dòng):數(shù)碼管動(dòng)態(tài)顯示接口是單片機(jī)中應(yīng)用最為廣泛的一種顯示方式之一,動(dòng)態(tài)驅(qū)動(dòng)是將所有數(shù)碼管的8個(gè)顯示筆劃a,b,c,d,e,f,g,dp的同名端連在一起,另外為每個(gè)數(shù)碼管的公共極COM增加位選通控制電路,位選通由各自獨(dú)立的I/O線控制,當(dāng)單片機(jī)輸出字形碼時(shí),所有數(shù)碼管都接收到相同的字形碼,但究竟是那個(gè)數(shù)碼管會(huì)顯示出字形,取決于單片機(jī)對(duì)位選通COM端電路的控制,所以我們只要將需要顯示的數(shù)碼管的選通控制打開(kāi),該位就顯示出字形,

39、沒(méi)有選通的數(shù)碼管就不會(huì)亮。通過(guò)分時(shí)輪流控制各個(gè)數(shù)碼管的的COM端,就使各個(gè)數(shù)碼管輪流受控顯示,這就是動(dòng)態(tài)驅(qū)動(dòng)。在輪流顯示過(guò)程中,每位數(shù)碼管的點(diǎn)亮?xí)r間為12ms,由于人的視覺(jué)暫留現(xiàn)象及發(fā)光二極管的余輝效應(yīng),盡管實(shí)際上各位數(shù)碼管并非同時(shí)點(diǎn)亮,但只要掃描的速度足夠快,給人的印象就是一組穩(wěn)定的顯示數(shù)據(jù),不會(huì)有閃爍感,動(dòng)態(tài)顯示的效果和靜態(tài)顯示是一樣的,能夠節(jié)省大量的I/O端口,而且功耗更低。(2)段碼表表4-2為L(zhǎng)ED段碼表表4-2 LED段碼表顯示字符共陰極段選碼共陽(yáng)極段選碼顯示字符共陰極段選碼共陽(yáng)極段選碼012343FH06H5BH4FH66HC0HF9HA4HB0H99H567896DH7DH07

40、H7BH6FH92H82HF8H80H90H(3)動(dòng)態(tài)顯示仿真(圖4.15) 圖4.15 動(dòng)態(tài)顯示仿真圖動(dòng)態(tài)顯示程序:#include#define uchar unsigned char#define uint unsigned intuint mm=1234; /顯示1234/uchar jj;uchar code table=0 xc0,0 xF9,0 xA4,0 xB0,0 x99,0 x92,0 x82,0 xF8,0 x80,0 x90,; delay(uint m) uint i,j; for(i=m;i0;i-) for(j=110;j0;j-);xian_shi() ucha

41、r qian,bei,shi,ge; qian=mm/1000; bei=mm%1000/100; shi=mm%100/10; ge=mm%10; P2=0 x80; P0=tableqian; delay(50); P2=0; P2=0 x40; P0=tablebei; delay(50); P2=0;P2=0 x20; P0=tableshi; delay(50); P2=0;P2=0 x10; P0=tablege; delay(50); P2=0;5.系統(tǒng)軟件設(shè)計(jì) 硬件電路完成以后,進(jìn)行系統(tǒng)軟件設(shè)計(jì)。首先要分析系統(tǒng)對(duì)軟件的要求,然后進(jìn)行軟件的總體的設(shè)計(jì),包括程序的總體設(shè)計(jì)和對(duì)程序的

42、模塊化設(shè)計(jì)。按整體功能分為多個(gè)不同的模塊,單獨(dú)設(shè)計(jì)、編程、調(diào)試,然后將各個(gè)模塊裝配聯(lián)調(diào),組成完整的軟件。 根據(jù)設(shè)計(jì)的要求,單片機(jī)的任務(wù)是:內(nèi)部進(jìn)行計(jì)數(shù),在計(jì)算出速度后顯示。軟件編程用C語(yǔ)言完成的,需要能掌握C語(yǔ)言,還要熟練AT89C51單片機(jī)。從程序流程圖、編寫程序、編譯,到最后的調(diào)試,是很復(fù)雜的。下面作簡(jiǎn)單介紹:系統(tǒng)軟件主程序的功能是完成系統(tǒng)的初始化、顯示程序。5.1 主程序初始化(1).定時(shí)器的初始化 AT89C51有兩個(gè)定時(shí)器/計(jì)數(shù)器T0和T1,每個(gè)定時(shí)器/計(jì)數(shù)器均可設(shè)置成為16位,也可以設(shè)置成為13位進(jìn)行定時(shí)或計(jì)數(shù)。計(jì)數(shù)器的功能是對(duì)T0或T1外來(lái)脈沖的進(jìn)行計(jì)數(shù),外部輸入脈沖負(fù)跳變時(shí),計(jì)

43、數(shù)器進(jìn)行加1。 定時(shí)功能是通過(guò)計(jì)數(shù)器的計(jì)數(shù)來(lái)實(shí)現(xiàn)的,每個(gè)機(jī)器周期產(chǎn)生1個(gè)計(jì)數(shù)脈沖,即每個(gè)機(jī)器周期計(jì)數(shù)器加1,因此定時(shí)時(shí)間等于計(jì)數(shù)個(gè)數(shù)乘以機(jī)器周期。定時(shí)器工作時(shí),每接收到1個(gè)計(jì)數(shù)脈沖(或機(jī)器周期)則在設(shè)定的初值基礎(chǔ)上自動(dòng)加1,當(dāng)所有位都位1時(shí),再加1就會(huì)產(chǎn)生溢出,將向CPU提出定時(shí)器溢出中斷身請(qǐng)。當(dāng)定時(shí)器采用不同的工作方式和設(shè)置不同的初值時(shí),產(chǎn)生溢出中斷的定時(shí)值和計(jì)數(shù)值將不同,從而可以適應(yīng)不同的定時(shí)或計(jì)數(shù)控制。 定時(shí)器有4種工作方式:方式0、方式2、方式2和方式3,在此對(duì)工作方式不做具體介紹。工作方式寄存器TMOD的設(shè)定:GATEC/TM1-M0GATEC/TM1M0TMOD各位的含義如下:GA

44、TE:門控位,用于控制定時(shí)/計(jì)數(shù)器的啟動(dòng)是否受外部中斷請(qǐng)求信號(hào)的影響。C/T:定時(shí)或計(jì)數(shù)方式選擇位,當(dāng)C/T=1時(shí)工作于計(jì)數(shù)方式;當(dāng)C/T=0時(shí)工作于定時(shí)方式。M1、M0為工作方式選擇位 ,用于對(duì)T0的四種工作方式,T1的三種工作方式進(jìn)行選擇,選擇情況如下表5-1:M1M0=00為方式0;M1M0=01為方式1; 表5-1 M1、M0為工作方式選擇位MOM1工作方式方式說(shuō)明00110101012313位定時(shí)/計(jì)數(shù)器16位定時(shí)/計(jì)數(shù)器8位自動(dòng)重置定時(shí)/計(jì)數(shù)器兩個(gè)8位定時(shí)/計(jì)數(shù)器(只有T0有)(2)中斷允許控制MCS-51單片機(jī)中沒(méi)有專門的開(kāi)中斷和關(guān)中斷指令,對(duì)各個(gè)中斷源的允許和屏蔽是由內(nèi)部的中斷

45、允許寄存器IE的各位來(lái)控制的。中斷允許寄存器IE的字節(jié)地址為A8H,可以進(jìn)行位尋址. 表5-2 中斷位尋址表IED7D6D5D4D3D2D1D0(A8H)EAET2ESET1EX1ET0EX0EA:中斷允許總控位。EA=0,屏蔽所有的中斷請(qǐng)求;EA=1,開(kāi)放中斷。 ET2:定時(shí)器/計(jì)數(shù)器T2的溢出中斷允許位 ES:串行口中斷允許位。 ET1:定時(shí)器/計(jì)數(shù)器T1的溢出中斷允許位。 EX1:外部中斷 INT1的中斷允許位。ET0:定時(shí)器/計(jì)數(shù)器T0的溢出中斷允許位。 EX0:外部中斷 INT0的中斷允許位。 5.2主程序流程圖程序流程圖主程序流程圖5.1顯示開(kāi)始初始化定時(shí)器計(jì)時(shí)器刷新數(shù)碼管延時(shí)2m

46、s 圖5.1 流程圖顯示子程序流程圖5.2開(kāi)始顯示緩存初始化LED顯示初始化數(shù)碼顯示圖5.2 顯示子程序流程圖定時(shí)計(jì)數(shù)子程序流程圖5.3開(kāi)定時(shí)器開(kāi)計(jì)數(shù)器定時(shí)0.5s計(jì)數(shù)0.5s讀出計(jì)數(shù)器值并清零計(jì)數(shù)器定時(shí)重新裝初始值并啟動(dòng)定時(shí)器開(kāi)始圖5.3 定時(shí)計(jì)數(shù)子程序流程圖總 結(jié)采用單片機(jī)技術(shù)來(lái)實(shí)現(xiàn)轉(zhuǎn)速的測(cè)量,可以提高轉(zhuǎn)速的測(cè)量,可以提高轉(zhuǎn)速測(cè)量的精確度,并且加快了采樣的速率,具有較好的實(shí)時(shí)性。本文介紹的轉(zhuǎn)速方法使用于高、低轉(zhuǎn)速的測(cè)量,測(cè)量精確度與轉(zhuǎn)速無(wú)關(guān),因而具有較寬的應(yīng)用范圍和廣闊的應(yīng)用的前景。 基于單片機(jī)的轉(zhuǎn)速測(cè)量系統(tǒng),具有硬件電路簡(jiǎn)單,程序簡(jiǎn)單和運(yùn)算速度快,測(cè)速范圍廣,抗干擾性能好的特點(diǎn)。在設(shè)計(jì)的

47、信號(hào)處理電路中經(jīng)過(guò)濾波,能夠進(jìn)一步減少誤差,是測(cè)速精度得到提高。致 謝經(jīng)過(guò)幾個(gè)月的忙碌,本次畢業(yè)設(shè)計(jì)已經(jīng)接近尾聲,通過(guò)這次學(xué)校組織的畢業(yè)設(shè)計(jì),端正了自己學(xué)習(xí)的態(tài)度,鍛煉了自己獨(dú)立動(dòng)手的能力,在此,我要感謝每一個(gè)幫助過(guò)我的人。首先,我要感謝的是我的導(dǎo)師楊帆老師。楊帆平日里工作繁多,但在我做畢業(yè)設(shè)計(jì)的每個(gè)階段,都給予我悉心的指導(dǎo)和幫助。可以說(shuō),沒(méi)有楊帆的悉心指導(dǎo)和幫助,我是不可能順利完成我的畢業(yè)設(shè)計(jì)的。另外,他的治學(xué)嚴(yán)謹(jǐn)和科學(xué)研究的精神也是我永遠(yuǎn)學(xué)習(xí)的榜樣,并將積極影響我今后的學(xué)習(xí)和工作。再次,我要感謝的是我的同學(xué)趙星輝和舍友們?cè)谖耶厴I(yè)設(shè)計(jì)期間,他們給了我不少的關(guān)心和幫助。理論與實(shí)踐的結(jié)合,是對(duì)知

48、識(shí)較好牢固掌握的一種方法,這次的畢設(shè)就有這種理念.基于改變高分低能的現(xiàn)狀.對(duì)于即將畢業(yè)的我們,社會(huì)更迫切需要的是能力而不是以往的高分.學(xué)校看出了這種現(xiàn)狀,所以安排了畢業(yè)生的最后一門課:理論實(shí)踐相結(jié)合.所以作為畢業(yè)生的我表示深切感謝.這次真的是機(jī)不可失,失不在來(lái).最后我要感謝的是我親愛(ài)的陜西理工學(xué)院的每一位老師和同學(xué)。總之,感謝每一位關(guān)心過(guò)我,愛(ài)護(hù)過(guò)我的人。滴水之恩,當(dāng)涌泉相報(bào)。參考文獻(xiàn)1、 陳伯時(shí).電力拖動(dòng)自動(dòng)控制系統(tǒng)-運(yùn)動(dòng)控制系統(tǒng).機(jī)械工業(yè)出版社,20032、 馬全權(quán),李慶輝,強(qiáng)盛.一種高精度實(shí)時(shí)電機(jī)轉(zhuǎn)速測(cè)量新方法,齊齊哈爾大學(xué)學(xué)報(bào).20023、 孫桂榮,班 瑩,劉 鳴.電機(jī)轉(zhuǎn)速測(cè)量設(shè)計(jì)實(shí)驗(yàn)

49、. 實(shí)驗(yàn)室科學(xué),20054、 王雪文.張志勇.傳感器原理及應(yīng)用.北京航空航天大學(xué)出版社.20045、 王秀杰,張疇先.模擬集成電路應(yīng)用.西北工業(yè)大學(xué)出版社,20036、 何立民.MCS-51系列單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì).北京:北京航空航天大學(xué)出版社,19907、 蔣智勇. 單片微型計(jì)算機(jī)原理及接口技術(shù).沈陽(yáng):遼寧科學(xué)技術(shù)出版設(shè),19928、何立民.MCS-51系列單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì).北京:北京航空航天大學(xué)出版社,19909、穆蘭.單片微型計(jì)算機(jī)原理及接口技術(shù).北京:機(jī)械工業(yè)出版社,199510、張毅剛.MCS-51單片機(jī)應(yīng)用設(shè)計(jì).哈爾濱:哈爾濱工業(yè)大學(xué)出版社,199011、蔣智勇. 單片微型計(jì)算機(jī)原

50、理及接口技術(shù).沈陽(yáng):遼寧科學(xué)技術(shù)出版設(shè),199212、Tierney, J., Rader, C.M., and Gold, B. A Digital Frequency Synthesizer, IEEE Transactions on Audio and Electroacoustics AU-19:1, March 1971 13、Goldberg, Bar-Giora, Digital Techniques in Frequency Synthesis, New York: McGraw-Hill, 199614、 QU Jin-yu. Measure of Engine Speed

51、Based on C8051F Chip, Tractor & Farm Transporter, 2007年 06期15、 XIA Junchao; CHEN Minli。Measurement Algorithm of the High-Accurate Rotary Spee U Jin-yu; d Based on Micro-Controllers, Chemical Engineering & Machinery, 2006年 03期附錄A系統(tǒng)總電路圖附錄B 系統(tǒng)總程序清單#include#define uchar unsigned char#define uint unsigne

52、d intuint mm=1234;uchar code table=0 xc0,0 xF9,0 xA4,0 xB0,0 x99,0 x92,0 x82,0 xF8,0 x80,0 x90,; delay(uint m) uint i,j; for(i=m;i0;i-) for(j=60;j0;j-);xian_shi() uchar qian,bei,shi,ge; uint jj; jj=mm; jj*=20; /jj+=1; qian=jj/1000; bei=jj%1000/100; shi=jj%100/10; ge=jj%10; P2=0 x10; P0=tableqian; de

53、lay(1); /P2=0; P2=0 x20; P0=tablebei; delay(1); /P2=0; P2=0 x40; P0=tableshi; delay(1); /P2=0; P2=0 x80; P0=tablege; delay(1); /P2=0; timer_init() /定時(shí)器計(jì)數(shù)器初始化函數(shù) EA=1; ET0=1; ET1=1; TMOD=0X51; TH0=(65535-50000)/256; TL0=(65535-50000)%256; TH1=0; TL1=0; TR0=1; TR1=1;main() timer_init(); P0=0; /開(kāi)始數(shù)碼管不顯示

54、 while(1) xian_shi(); delay(2); /數(shù)碼管刷新時(shí)間單位毫秒 void timer0() interrupt 1 TR0=0; TR1=0; TH0=(65535-50000)/256; TL0=(65535-50000)%256; mm=0; mm|=TH1; mm=(mm8)|TL1;/mm-=55536; TH1=0; TL1=0; TR0=1; TR1=1;void timer1() interrupt 3 /顯示0000說(shuō)明出錯(cuò) TR1=0; TR0=0; mm=0; / TH1=0; / TL1=0; / TR1=1; Electric boiler t

55、emperature system1.MCUA microcontroller (or MCU) is a computer-on-a-chip. It is a type of microprocessor emphasizing self-sufficiency and cost-effectiveness, in contrast to a general-purpose microprocessor (the kind used in a PC). The majority of computer systems in use today are embedded in other m

56、achinery, such as telephones, clocks, appliances, vehicles, and infrastructure. An embedded system usually has minimal requirements for memory and program length and may require simple but unusual input/output systems. For example, most embedded systems lack keyboards, screens, disks, printers, or o

57、ther recognizable I/O devices of a personal computer. They may control electric motors, relays or voltages, and read switches, variable resistors or other electronic devices. Often, the only I/O device readable by a human is a single light-emitting diode, and severe cost or power constraints can eve

58、n eliminate that. In contrast to general-purpose CPUs, microcontrollers do not have an address bus or a data bus, because they integrate all the RAM and non-volatile memory on the same chip as the CPU. Because they need fewer pins, the chip can be placed in a much smaller, cheaper package. Integrati

59、ng the memory and other peripherals on a single chip and testing them as a unit increases the cost of that chip, but often results in decreased net cost of the embedded system as a whole. (Even if the cost of a CPU that has integrated peripherals is slightly more than the cost of a CPU + external pe

60、ripherals, having fewer chips typically allows a smaller and cheaper circuit board, and reduces the labor required to assemble and test the circuit board). This trend leads to design. A microcontroller is a single integrated circuit, commonly with the following features: central processing unit - ra

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論