可編程序控制器及應(yīng)用(PLC技術(shù))課件_第1頁
可編程序控制器及應(yīng)用(PLC技術(shù))課件_第2頁
可編程序控制器及應(yīng)用(PLC技術(shù))課件_第3頁
可編程序控制器及應(yīng)用(PLC技術(shù))課件_第4頁
可編程序控制器及應(yīng)用(PLC技術(shù))課件_第5頁
已閱讀5頁,還剩264頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、可編程序控制器及應(yīng)用全有文檔 可編程序控制器及應(yīng)用一、課程設(shè)置34學(xué)時 實(shí)驗(yàn)4 學(xué)分2 專業(yè)方向課(選修)二、教學(xué)內(nèi)容1.了解PLC的硬件結(jié)構(gòu),工作原理.2.掌握軟件指令系統(tǒng)和控制功能,軟件編程技巧.教材:廖常初.PLC編程及應(yīng)用 2版. 機(jī)工出版社三、教學(xué)要求現(xiàn)代工業(yè)控制三大支柱(PLC;NC;ROBOT)之一。成績:平時20%(作業(yè)10%、出勤10%)期末80%。目 錄第一章 概述第二章 PLC硬件結(jié)構(gòu)和工作原理第三章 PLC的程序設(shè)計(jì)基礎(chǔ)第四章 數(shù)字量控制系統(tǒng)梯形圖設(shè)計(jì)方法第五章 順序控制梯形圖的設(shè)計(jì)方法第六章 PLC的功能指令第七章 PLC的通信與自動化通信網(wǎng)絡(luò)第八章 PLC應(yīng)用中的一

2、些問題第1章 概 述 定義 分類 特點(diǎn) 應(yīng)用領(lǐng)域 國內(nèi)外狀況 發(fā)展趨勢 1968年美國最大的汽車制造廠家通用汽車公司(GM)為了適應(yīng)汽車型號不斷更新的需要,提出了十條技術(shù)指標(biāo)在社會上公開招標(biāo),制造一種新型的工業(yè)控制裝置,提出了研制可編程序控制器的基本設(shè)想,即 (1)能用于工業(yè)現(xiàn)場。 (2)能改變其控制“邏輯”,而不需要變動組成它的元件和修改內(nèi)部接線。 (3)出現(xiàn)故障時易于診斷和維修。 1969年,美國數(shù)字設(shè)備公司(DEC)研制出了世界上第一臺PLC。并在GM公司汽車生產(chǎn)線上首次應(yīng)用成功。 (邏輯、計(jì)時、計(jì)數(shù)) 1980年美國電氣制造商協(xié)會(NEMA)正式將其命名為可編程序控制器(Program

3、mable Controller),簡稱 PC國際電工委員會( IEC)于 1982.11月;1985.1和987.2發(fā)布了可編程序控制器標(biāo)準(zhǔn)草案的第1,2,3稿。 在第3稿中對可編程序控制器作了如下的定義:可編程序控制器是一種數(shù)字運(yùn)算操作的電子系統(tǒng),專為在工業(yè)環(huán)境下應(yīng)用而設(shè)計(jì)。它采用可編程序的存儲器,用來在其內(nèi)部存儲執(zhí)行邏輯運(yùn)算、順序控制、定時、計(jì)數(shù)和算術(shù)運(yùn)算等操作的指令,并通過數(shù)字式、模擬式的輸入和輸出,控制各種類型的機(jī)械或生產(chǎn)過程。可編程序控制器及其有關(guān)設(shè)備,都應(yīng)按易于使工業(yè)控制系統(tǒng)形成一個整體,易于擴(kuò)充其功能的原則設(shè)計(jì)。” 1.2 PLC的分類(1)按 IO點(diǎn)數(shù)分類IO點(diǎn)數(shù)小于 32為

4、微型PLC;IO點(diǎn)數(shù)在32128為微小型PLC;IO點(diǎn)數(shù)在128256為小型PLC;IO點(diǎn)九在2561024為中型PLC;IO點(diǎn)數(shù)大于1024為大型PLC;IO點(diǎn)數(shù)在4000以上為超大型PLC。以上劃分不包括模擬量I0點(diǎn)數(shù),且劃分界限不是固定不變的。(2)按結(jié)構(gòu)形式分類整體式PLC: 又稱單元式或箱體式。整體式PLC是將電源、CPU、I0部件都集中裝在一個機(jī)箱內(nèi)。一般小型PLC采用這種結(jié)構(gòu)。模塊式PLC:將PLC各部分分成若干個單獨(dú)的模塊,如 CPU模塊、I0模塊、電源模塊和各種功能模塊。模塊式PLC由框架和各種模塊組成。模塊插在插座上。一般大、中型PLC采用模塊式結(jié)構(gòu),有的小型PLC也采用這

5、種結(jié)構(gòu)。有的PLC將整體式和模塊式結(jié)合起來,稱為疊裝式PLC。電源模塊CPU模塊底 板IO模塊模塊式1.3 PLC的特點(diǎn)優(yōu)點(diǎn):1編程方法簡單易學(xué)2可靠性高,抗干擾能力強(qiáng) 3通用性強(qiáng)4系統(tǒng)的設(shè)計(jì)、安裝、調(diào)試工作量少 5維修工作量小,維修方便6體積小,能耗低 缺點(diǎn):1.主要是PLC的軟、硬件體系結(jié)構(gòu)是封閉而不是開放的:如專用總線、專家通信網(wǎng)絡(luò)及協(xié)議,I/O模板不通用,甚至連機(jī)柜、電源模板亦各不相同。2.編程語言雖多數(shù)是梯形圖,但組態(tài)、尋址、語言結(jié)構(gòu)均不一致,因此各公司的 PLC互不兼容。SIEMENS等公司已經(jīng)開發(fā)出以個人計(jì)算機(jī)為基礎(chǔ),在Windows平臺下,結(jié)合IEC11313國際標(biāo)準(zhǔn)的新一代開

6、放體系結(jié)構(gòu)的PLC。 1.4 PLC的應(yīng)用領(lǐng)域 PLC在工業(yè)自動化中起著舉足輕重的作用,在國內(nèi)外已廣泛應(yīng) 用于機(jī)械、冶金、石油、化工、輕工、紡織、電力、電子、食品、 交通等行業(yè)。經(jīng)驗(yàn)表明, 80 以上的工業(yè)控制可以使用PLC來完成。主要有以下幾個方面:1.數(shù)字量邏輯控制2.運(yùn)動控制3.閉環(huán)過程控制4.數(shù)據(jù)處理5.通信聯(lián)網(wǎng)美國PLC發(fā)展得最快:1984年有48家,生產(chǎn)150多種PLC;1987年有63家,生產(chǎn)243種PLC;1996年有70余家,生產(chǎn)近300種PLC。著名廠家有AB(AllenBradley)艾倫一布拉德利公司,MODICON莫迪康公司,GEFSNUC公司,TI(Texas In

7、strument)德州儀器公司,WESTHOUSE Electric西屋電氣公司, IPM(International Parallel Machines)國際并行機(jī)器公司等。 歐洲PLC的廠家有60余家: 西門子(Siemens)于1973年研制出第一臺PLC。法國的TE(Telemecanique)(施耐德)瑞士的Selectron公司等。我國在 70年代末和 80年代初開始引進(jìn)PLC。我國早期獨(dú)立研制PLC的單位有:北京機(jī)械工業(yè)自動化研究所,上海工業(yè)自動化儀表研究所,大連組合機(jī)床研究所,成都機(jī)床電器研究所,中科院北京計(jì)算機(jī)所及自動化所,長春一汽,上海起重電器廠,上海香島機(jī)電公司,上海自力

8、電子設(shè)備廠等單位。以上諸單位都沒有形成規(guī)?;a(chǎn)遼寧無線電二廠引進(jìn)德國西門子技術(shù)生產(chǎn)PLC;無錫電器和日本光洋合資生產(chǎn)的 PLC;中美合資的廈門 AB公司生產(chǎn)的PLC;上海香島機(jī)電公司引進(jìn)技術(shù)生產(chǎn)的PLC;上海OMRON公司;西安Siemens公司等。1.5PLC的發(fā)展趨勢(1)向高速度、大存儲容量方向發(fā)展 (CPU處理速度nS級;內(nèi)存2M字節(jié))(2)向多品種方向發(fā)展和提高可靠性 (超大型和超小型)(3)產(chǎn)品更加規(guī)范化、標(biāo)準(zhǔn)化(硬件、軟件兼容的PLC)(4)分散型、智能型、與現(xiàn)場總線兼容的I0(5)加強(qiáng)聯(lián)網(wǎng)和通信的能力(6)控制的開放和模塊化的體系結(jié)構(gòu)OMAC(open Modular Arc

9、hitecture for ControlPLC著名品牌1993年中國PLC市場排行榜上的世界十大廠家:美國 AB公司 (AllenBradley)德國西門子公司(Siemens)美國GEFanuc公司美國的莫迪康(Modicon)和法國的TE電器公司日本歐姆公司(OMRON)日本三菱電機(jī)株式會社(MITSUBISHI)日本富士電機(jī)株式會社(Fuji Electric)日本東芝公司(TOSHIBA)日本的光洋電子(KOYO)和中國的華光電子(CKE)日本松下電工株式會社(MEW):Matsushita Electric Works Ltd)根據(jù)美國 Automation Research Co

10、(ARC)的商情調(diào)查,在1994年P(guān)LC市場上,最大的5家PLC制造商是:SIEMENS,AllenBradly,(Rockwell) AEG Schneider,三菱電機(jī), OMRON(立石電機(jī))(1996年中國PLC市場)第二章PLC基本組成和工作原理 PLC的基本組成PLC的工作原理1.中央處理單元(CPU):(1)從程序存儲器讀取程序指令,編譯、執(zhí)行指令(2)將各種輸入信號取入(3) 把運(yùn)算結(jié)果送到輸出端(4) 響應(yīng)各種外部設(shè)備的請求 (1)通用處理器:8086、80286、80386(2)單片機(jī)芯片:8031、8096(3)位片式微處理器:AMD-2900小型PLC多采用8位微處理器

11、或單片機(jī)作為CPU中型PLC多采用16位微處理器或單片機(jī)作為CPU大型PLC多采用高速位片式微處理器2.存儲器:作用:存放系統(tǒng)程序,用戶程序和數(shù)據(jù)。系統(tǒng)程序:決定PLC的基本智能,由廠家設(shè)計(jì),并存入ROM、EEPROM。用戶不能修改。用戶程序:根據(jù)要求,用PLC的編程語言,編制的程序,用戶用編程器寫入RAM或EEPROM。 (3)可電擦除可編程的只讀存儲器(EEPROM) 它是非易失性的,但是可以用編程裝置對它編程兼有ROM的非易失性和RAM的隨機(jī)存取優(yōu)點(diǎn),但是將信息寫入它所需的時間比RAM長得多。EEPROM用來存放用戶程序和需長期保存的重要數(shù)據(jù)。 3. 輸入、輸出接口采用光電隔離,實(shí)現(xiàn)了P

12、LC的內(nèi)部電路與外部電路的電氣隔離,減小了電磁干擾。輸入接口作用:將按鈕、行程開關(guān)或傳感器等產(chǎn)生的信號,轉(zhuǎn)換成數(shù)字信號送入主機(jī)輸出接口作用:將主機(jī)向外輸出的信號轉(zhuǎn)換成可以驅(qū)動外部執(zhí)行電路的信號,以便控制接觸器線圈等電器通斷電;另外輸出電路也使計(jì)算機(jī)與外部強(qiáng)電隔離。輸出三種形式: 繼電器 - 低速大功率 可控硅 - 高速大功率 晶體管 - 高速小功率(1)輸入接口電路:采用光電耦合器,防止強(qiáng)電干擾。COM光電三極管發(fā)光二極管直流電源輸入端子+PLCXn+24V發(fā)光二極管繼電器輸出(2)輸出接口電路:以繼電器形式為例:PLC內(nèi)部電路內(nèi)部電路J+交流電源或直流電源YCOM-4.電源單元把外部供應(yīng)的電

13、源變換成系統(tǒng)內(nèi)部各單元所需的電源。有的電源單元還向外提供24v隔離直流電源,可供開關(guān)量輸入單元連接的現(xiàn)場無源開關(guān)等使用??删幊绦蚩刂破魇褂?20V交流電源或24V直流電源。內(nèi)部的開關(guān)電源為各模塊提供DC 5V、12V、24V等直流電源。 可編程序控制器的電源一般采用開關(guān)式電源,其特點(diǎn)是輸入電壓范圍寬、體積小、重量輕、效率高、抗干擾性能好。各種接口、高功能模塊:便于擴(kuò)展 小型機(jī):一體機(jī)。有接口可擴(kuò)展。 Power in a Small Package!電源模塊CPU模塊IO模塊底 板中、大型機(jī):模塊式??筛鶕?jù)需要在主板上隨意組合。編程設(shè)備可以是專用的手持式的編程器;也可以是安裝了專門的編程通訊軟

14、件的個人計(jì)算機(jī)。5. 編程設(shè)備用戶可以通過鍵盤輸入和調(diào)試程序;另外在運(yùn)行時,還可以對整個控制過程進(jìn)行監(jiān)控。PCFP PROGRAMMER(HELP)CLRWRTFN/PFLSTKIX/IYNOTDT/LdREADOTLWLORRWRANYWYSTXWXSRC(-)OP(BIN)K/HSCCTCEVTMTSVACLRENTBAFEDC 98 3 2 1 0 7 6 5 4(DELT)CLR手持式的編程器1).手持式編程器:不能直接輸人和編輯梯形圖,只能輸入和編輯指令表程序,因此又叫做指令編程器。2).編程軟件編程:在屏幕上直接生成和編輯梯形圖、指令表、功能塊圖和順序功能圖程序,并可以實(shí)現(xiàn)不同編程

15、語言的相互轉(zhuǎn)換。PLC的物理結(jié)構(gòu)PLC的外部接線2.2 可編程序控制器的工作原理2.2.1可編程序控制器的工作模式工作模式:STOP:創(chuàng)建和編輯用戶程序,設(shè)置PLC的硬件功能,并可下栽到PLC。 RUN: 執(zhí)行用戶程序?qū)崿F(xiàn)控制功能。改變工作模式:1.用模式開關(guān)2.用編程軟件3.在程序中插入stopPLC在RUN狀態(tài)時,執(zhí)行一次的掃描操作所需的時間稱為掃描周期,其典型值為1100ms。2.2.2PLC的工作原理建立I/O映像區(qū)輸入點(diǎn)總有輸入映象區(qū)的某一位與之相對應(yīng) I/O映象區(qū)的大小與系統(tǒng)控制的規(guī)模有關(guān) PLC工作時,將采集到的輸入信號狀態(tài)存放在輸入映象區(qū)對應(yīng)的位上;將運(yùn)算的結(jié)果存放到輸出映象區(qū)

16、對應(yīng)的位上。PLC在執(zhí)行用戶程序時所需“輸入繼電器”、“輸出繼電器”的數(shù)據(jù)取用于I/O映象區(qū),而不直接與外部設(shè)備發(fā)生關(guān)系 。PLC工作過程定期檢查用戶程序存儲器、I/O單元的連接、I/O總線是否正常,定期復(fù)位監(jiān)控定時器WDTPLC之間以及PLC與PC之間; PLC與其他帶微處理器的智能裝置通信編程器、終端設(shè)備、彩色圖形顯示器、打印機(jī) 以掃描的方式按順序逐句掃描處理,運(yùn)算結(jié)果存入輸出映象區(qū)對應(yīng)位中 掃描的方式輸入信號的狀態(tài)存入輸入映象區(qū);結(jié)果存入輸出映象區(qū),直至傳送到外部被控設(shè)備。 清除內(nèi)部繼電器區(qū),復(fù)位定時器等,并進(jìn)行自診斷,對電源、PLC內(nèi)部電路、用戶程序的語法進(jìn)行檢查。 用戶程序的循環(huán)掃描

17、過程 可編程序控制器對用戶程序進(jìn)行循環(huán)掃描可分為三個階段進(jìn)行,即輸入采樣階段,程序執(zhí)行階段和輸出刷新階段。 輸入、輸出延遲響應(yīng) 輸入電路濾波時間,它由RC濾波電路的時間常數(shù)決定。改變時間常數(shù)可調(diào)整輸入延遲時間。 PLC循環(huán)掃描的工作方式 用戶程序中語句的安排 PLC對輸入采樣、輸出刷新的集中處理方式 輸出電路的滯后時間,它與輸出電路的輸出方式有關(guān)。繼電器輸出方式的滯后時間為10ms左右;雙向晶閘管輸出方式,在接通負(fù)載時滯后時間約為1ms,切斷負(fù)載時滯后時間小于10ms;晶體管輸出方式的滯后時間小于1ms。是指可編程序控制器的外部輸入信號發(fā)生變化的時刻至它控制的有關(guān)外部輸出信號發(fā)生變化的時刻之間

18、的時間間隔,幾十毫秒。CPU讀輸入輸入輸出延遲時間最短響應(yīng)時間輸入/輸出刷新時間最短響應(yīng)時間=輸入延遲時間+一個掃描周期+輸出延遲時間CPU讀輸入輸入/輸出刷新時間輸入輸出延遲時間最長響應(yīng)時間最長響應(yīng)時間=輸入延遲時間+兩個掃描時間+輸出延遲時間2.3 S7-200系列可編程序控制器2.3.1S7-200PLC的系統(tǒng)配置S7-200 CPU1.基本單元 (S7-200 CPU模塊) 基本單元(S7-200CPU模塊)也稱為主機(jī),它包括一個中央處理單元(CPU)、電源、數(shù)字量輸入輸出單元?;締卧梢詷?gòu)成一個獨(dú)立的控制系統(tǒng)。組成CPU模塊的頂部端子蓋內(nèi) :電源及輸出端子底部端子蓋內(nèi) :輸入端子及

19、傳感器電源 中部右側(cè)前蓋內(nèi) :CPU工作方式開關(guān) (RUN/STOP)、模擬調(diào)節(jié)電位器和擴(kuò)展I/O接口 左側(cè) :狀態(tài)指示燈LED、存儲卡、及通訊 口 狀態(tài)指示燈 顯示CPU的工作方式、本機(jī) I/O的狀態(tài)、系統(tǒng)錯誤狀態(tài)。存儲卡(EEPOM卡)可以存儲CPU程序。RS-485的串行通訊端口 PLC主機(jī)實(shí)現(xiàn)人一機(jī)對話、機(jī)機(jī)對話的通道。實(shí)現(xiàn)PLC與上位計(jì)算機(jī)的連接,實(shí)現(xiàn)PLC與PLC、編程器、彩色圖形顯示器、打印機(jī)等外部設(shè)備的連接。 擴(kuò)展接口 PLC主機(jī)與輸入、輸出擴(kuò)展模塊的接口,作擴(kuò)展系統(tǒng)之用。主機(jī)與擴(kuò)展模塊之間由導(dǎo)軌固定,并用擴(kuò)展電纜連接。(主機(jī)與擴(kuò)展模塊) 2. 個人計(jì)算機(jī)(PC)或編程器 個人

20、計(jì)算機(jī)(PC)或編程器需裝上STEP7-Micro/WIN32編程軟件后,才可供用戶進(jìn)行程序的編制、編輯、調(diào)試和監(jiān)視等。 要求個人計(jì)算機(jī)CPU為80586或更高的處理器,16M內(nèi)存(最低要求為:CPU80486,8M內(nèi)存)。3STEP7-Micro/WIN32編程軟件 STEP7-Micro/WIN32編程軟件的基本功能是創(chuàng)建、編輯、調(diào)試用戶程序、組態(tài)系統(tǒng)等。 編程軟件的使用環(huán)境:支持Windows的應(yīng)用軟件。4通訊電纜 通訊電纜是PLC用來與個人計(jì)算機(jī)(PC)實(shí)現(xiàn)通訊的??梢杂肞C/PPI電纜(RS232RS485),也可用一個通訊處理器(CP)和多點(diǎn)接口(MP1)電纜,或者用一塊MPI卡及

21、隨MP1卡提供的一根通訊電纜。5人機(jī)界面 人機(jī)界面主要指專用操作員界面,例如操作員面板、觸摸屏、文本顯示器等,這些設(shè)備可以使用戶通過友好的操作界面輕松地完成各種調(diào)整和控制的任務(wù)?;竟δ懿僮鲉T面板和觸摸屏 :過程狀態(tài)和過程控 制的可視化??梢杂肞rotool軟件組 態(tài)它們的顯 示與控制功能 文本顯示器:文本顯示和實(shí)施操作。 還可以擴(kuò)展PLC的輸入、輸出端子數(shù)2.3.2S7-200PLC主機(jī)簡介 S7-200 CPU22X系列產(chǎn)品: CPU221模塊、CPU222模塊、CPU224模塊、CPU226模塊、CUP226XM模塊。 CPU226模塊I/O總點(diǎn)數(shù)為40點(diǎn)(24/ 16 點(diǎn)),可帶7個擴(kuò)

22、展模塊; 用戶程序存儲器容量為6.6K字; 內(nèi)置高速計(jì)數(shù)器,具有PID控制的功能; 有2個高速脈沖輸出端和2個RS-485通訊口; 具有PPI通訊協(xié)議、MPI通訊協(xié)議和自由口協(xié)議的通訊能力。 CPU226AC/DC/繼電器模塊輸入、輸出單元的接線圖2.3.3 S7-200 PLC的接口模塊 S7-200 PLC的接口模塊有數(shù)字量模塊、模擬量模塊、智能模塊等。一、數(shù)字量模塊1.數(shù)字量輸入模塊分為直流輸入模塊和交流輸入模塊。 每一個輸入點(diǎn)可接收一個來自用戶設(shè)備的離散信號(ON/OFF)。 輸入設(shè)備:按鈕、限位開關(guān)、選擇開關(guān)、 繼電器觸點(diǎn)等。a.直流輸入模塊外部接線圖: 直流輸入模塊(EM221 8

23、24VDC)有8個數(shù)字量輸入端子。接線圖中,8個數(shù)字量輸入點(diǎn)分成2組。1M、2M分別是2組輸入點(diǎn)內(nèi)部電路的公共端,每組需用戶提供一個24VDC電源。 b交流輸入模塊外部接線圖:有8個分隔式數(shù)字量輸入端子,每個輸入點(diǎn)都占用二個接線端子。它們各自使用1個獨(dú)立的交流電源(由用戶提供)。這些交流電源可以不同相。(交流I/O都是分隔式的)2.數(shù)字量輸出模塊 分為直流輸出模塊、交流輸出模塊、交直流輸出模塊三種(晶體管、晶閘管、繼電器輸出方式)。 數(shù)字量輸出模塊的每一個輸出點(diǎn)能控制一個用戶的離散型(ON/OFF)負(fù)載。典型的負(fù)載包括:繼電器線圈,接觸器線圈、電磁閥線圈、指示燈等。 每一個輸出點(diǎn)與一個且僅與一

24、個輸出電路相連,輸出電路把CPU運(yùn)算處理的結(jié)果轉(zhuǎn)換成能夠驅(qū)動現(xiàn)場執(zhí)行機(jī)構(gòu)的各種大功率的開關(guān)信號。PLC的輸出端子是PLC向外部負(fù)載發(fā)出控制命令的窗口。a. 直流輸出模塊外部接線圖: 直流輸出模塊(EM222 824VDC),有8個數(shù)字量輸出點(diǎn),接線圖中8個數(shù)字量輸出點(diǎn)分成2組。1L+、2L+分別是兩組輸出點(diǎn)內(nèi)部電路的公共端,每組需用戶提供一個DC24V的電流。b交流輸出模塊 外部接線圖: 交流輸出模塊(EM2228120V/230VAC),有8個分隔式數(shù)字量輸出點(diǎn),接線圖中每個輸出點(diǎn)占用二個接線端子,且它們各自都由用戶提供一個獨(dú)立的交流電源,這些交流電源可以不同相。c. 交、直流輸出模塊( 繼

25、電器輸出方式)外部接線圖: 交直流輸出模塊(EM222 8繼電器)有8個輸出點(diǎn),分成2組,1L、2L是每組輸出點(diǎn)的公共端。每組需用戶提供一個外部電源(可以是直流或交流電源)。3 數(shù)字量輸入輸出模塊組合模塊或輸入輸出模塊 :在一塊模塊上既有數(shù)字量輸入點(diǎn)又有數(shù)字量輸出點(diǎn) 。特點(diǎn):使系統(tǒng)配置更加靈活例如:若有CPU 226:主機(jī)I/O 24/16; 欲擴(kuò)展至27/19; 缺 3/3; 配 I/O 4/4。 數(shù)字量輸入輸出模塊的輸入電路及輸出電路的類型與上述介紹的相同。在同一塊模塊上,輸入、輸出電路類型的組合有多種多樣,用戶可根據(jù)控制需求選用。二、模擬量模塊(A/D) 模擬量模塊有模擬量輸入模塊、模擬

26、量輸出模塊、模擬量輸入輸出模塊。1.模擬量輸入模塊(A/D) 作用:PLC只能接收數(shù)字量信號,模擬量信號是一種連續(xù)變化的物理量。為實(shí)現(xiàn)模擬量控制,必須先對模擬量進(jìn)行模/數(shù)(A/D)轉(zhuǎn)換,將模擬信號轉(zhuǎn)換成PLC所能接受的數(shù)字信號。模擬量輸入模塊的功能就是實(shí)現(xiàn)模/數(shù)(A/D)轉(zhuǎn)換。組成 由濾波、模數(shù)轉(zhuǎn)換A/D,光電耦合等部分組成 。光電耦合器起防止電磁干擾的作用 。對多通道的模擬量輸入單元,通常設(shè)置多路轉(zhuǎn)換開關(guān)進(jìn)行通道的切換,且在輸出端設(shè)置信號寄存器。使用及特性 一般先用信號變送器把它們變換成統(tǒng)一的標(biāo)準(zhǔn)信號(如4-20mA的直流電流信號,1-5V的直流電壓信號等),然后再送入模擬量輸入模塊 。模擬

27、量輸入模塊(EM231)具有4個模擬量輸入通道。 外部接線圖: 模塊上部共有12個端子,每3個點(diǎn)為一組,共4組。 每組可作為一路模擬量的輸入通道(電壓信號或電流信號),電壓信號用兩個端子(A+、A),電流信號用3個端子(RC,C+,C),其中RC與C+端子短接。未用的輸入通道應(yīng)短接(B+、B)。 該模塊需要直流24V供電(M、L+端)??捎蒀PU模塊的傳感器電源24VDC/400mA供電,也可由用戶提供外部電源。右端分別是校準(zhǔn)電位器和配置DIP設(shè)定開關(guān)。 2. 模擬量輸出模塊(D/A) 模擬量輸出模塊由光電耦合器、數(shù)模轉(zhuǎn)換器D/A和信號驅(qū)動等環(huán)節(jié)組成。光電耦合器防止電磁干擾。外部接線圖: 左端

28、起的每3個點(diǎn)為一組,共二組。每組可作為一路模擬量輸出(電壓或電流信號)。 第一組V0端接電壓負(fù)載、I0端接電流負(fù)載,M0為公共端。 第二組的接法與第一組類同。 該模塊需要直流24V供電。3. 模擬量輸入輸出模塊(EM235) EM235具有4個模擬量輸入通道、1個模擬量輸出通道 。模擬量輸入功能同EM231模擬量輸入模塊,技術(shù)參數(shù)基本相同 。電壓輸入范圍有所不同,單極性為010V、05V、01V、0500mv、0100mv、050mv。雙極性為10V、5V、2.5V、1V、500mV、250mv、100mv、50mv、25mv。該模塊的模擬量輸出功能同EM232模擬量輸出模塊。技術(shù)參數(shù)也基本相

29、同。該模塊需要直流24V供電??捎蒀PU模塊的傳感器電源24VDC/400mA供電。也可由用戶提供外部電源。2.3.4 本機(jī)I0與擴(kuò)展lO 本機(jī)IO有固定的地址,擴(kuò)展I0點(diǎn)的地址由模塊的類型和模塊在同類IO模塊鏈中的位置來決定。規(guī)則: 1)同類型輸入或輸出點(diǎn)的模塊進(jìn)行順序編址。 2)數(shù)字量I0模塊的地址以字節(jié)(8位)為單位,未用的位不會分配給I0鏈中的后續(xù)模塊。 3)模擬量擴(kuò)展模塊以2字節(jié)遞增的方式來分配地址。 CPU224的I0地址分配舉例。第3章可編程序控制器程序設(shè)計(jì)基礎(chǔ)PLC的編程語言與程序結(jié)構(gòu)存儲器的數(shù)據(jù)類型與尋址方式位邏輯指令定時器與計(jì)數(shù)器指令31 可編程序控制器的編程語言與程序結(jié)構(gòu)

30、3.1.1 可編程序控制器編程語言的國際標(biāo)準(zhǔn)1994年5月 可編程序控制器標(biāo)準(zhǔn)(IECll31).組成:通用信息,設(shè)備與測試要求,編程語言,用戶指南和通信。編程語言標(biāo)準(zhǔn)。(IECll313) (1)順序功能圖(Sequential Function Chart)。 (2)梯形圖(Ladder Diagram)。 (3)功能塊圖(Function Block Diagram)。 (4)指令表(Instruction List)。 (5)結(jié)構(gòu)文本(structured Text) 1順序功能圖(SFC) 這是一種位于其他編程語言之上的圖形語言,用來編制順序控制程序。 順序功能圖提供了一種組織程序的

31、圖形方法,在順序功能圖中可以用別的語言嵌套編程。步、轉(zhuǎn)換和動作是順序功能圖中的三種主要元件。可以用順序功能圖來描述系統(tǒng)的功能。 2梯形圖(LAD) 組成:由觸點(diǎn)、線圈和用方框表示的功能塊。 特點(diǎn):1)沿用繼電器這一名稱,但不是真實(shí) 繼電器,而是軟件中編程元件。2)假想的“能流”(POWeY Flow),從左向右流動。3)邏輯解算,從左至右,從上至下。4)線圈放在最右邊,觸點(diǎn)可無限次使用。 3功能塊圖(FBD) 4語句表(STL) 指令表程序:一種與微機(jī)的匯編語言中的指令相似的助記符表達(dá)式。 5結(jié)構(gòu)文本(ST) 結(jié)構(gòu)文本(ST)是為IECll313標(biāo)準(zhǔn)創(chuàng)建的一種專用的高級編程語言。與梯形圖相比,

32、它能實(shí)現(xiàn)復(fù)雜的數(shù)學(xué)運(yùn)算,編寫的程序非常簡潔和緊湊。 32存儲器的數(shù)據(jù)類型與尋址方式321數(shù)據(jù)在存儲器中存取的方式 1位、字節(jié)、字和雙字位(bit):二進(jìn)制數(shù)的1位只有O和1兩種不同的取值。字節(jié)(Byte):8位二進(jìn)制數(shù)組成1個字節(jié)。字(Word):兩個字節(jié)。雙字(Double Word):兩個字。 2數(shù)據(jù)的存取方式 I3. 2 IB3(圖3-5)。VBl00 VWl00 VDl00322不同存儲區(qū)的尋址 1輸入過程映像寄存器(I) (I0.0-I15.7),在每個掃描周期的開始,CPU對輸入點(diǎn)進(jìn)行采樣,并將采樣值存于輸入映像寄存器中。 I、O、V、M、S、SM、L均可按位、字節(jié)、字和雙字來存取

33、2輸出過程映像寄存器(Q)(QO.OQ15.7),在掃描周期的末尾,CPU將輸出映像寄存器的數(shù)據(jù)傳送給輸出模塊,再由后者驅(qū)動外部負(fù)載。3變量存儲區(qū)(v) 程序執(zhí)行的過程中存放中間結(jié)果,或用來保存與工序或任務(wù)有關(guān)的其他數(shù)據(jù)。 4位存儲區(qū)(M) (MO.0M31.7)作為控制繼電器用來存儲中間操作狀態(tài)或其他控制信息。 5特殊存儲器(SM) 特殊存儲器用于CPU與用戶之間交換信息,如SMO.O、SMO.l、SMO.4和SMO.5 6局部存儲器(L) S7-200有64個字節(jié)的局部存儲器,其中60個可以作為暫時存儲器,或給子程序傳遞參數(shù)。7定時器存儲區(qū)(T) S7-200有三種時基增量分別為1ms、l

34、Oms和lOOms定時器,定時器的當(dāng)前值寄存器是16位有符號整數(shù),用于存儲定時器累計(jì)的時基增量,定時器位用來描述定時器的延時動作觸電狀態(tài)。8計(jì)數(shù)器存儲區(qū)(c) 計(jì)數(shù)器用來累計(jì)其計(jì)數(shù)輸入端脈沖電平由低到高的次數(shù),CPU提供加計(jì)數(shù)器、減計(jì)數(shù)器和加減計(jì)數(shù)器。9順序控制繼電器(s) 順序控制繼電器(SCR)位用于組織機(jī)器的順序操作,SCR提供控制程序的邏輯分段。10模擬量輸入(AI) S7-200將現(xiàn)實(shí)世界連續(xù)變化的模擬量(如溫度、壓力、電流、電壓等)用AD轉(zhuǎn)換器轉(zhuǎn)換為1個字長(16位)的數(shù)字量,用區(qū)域標(biāo)識符AI、數(shù)據(jù)長度(w)和字節(jié)的起始字節(jié)地址來表示模擬量輸入的地址。 AIW211模擬量輸出(AQ

35、) S7-200將1個字長的數(shù)字用DA轉(zhuǎn)換器轉(zhuǎn)換為現(xiàn)實(shí)世界的模擬量,用區(qū)域標(biāo)識符AQ、數(shù)據(jù)長度(w)和字節(jié)的起始地址來表示存儲模擬量輸出的地址。AQW212累加器(AC) 累加器是可以像存儲器那樣使用的讀寫單元,例如可以用它向子程序傳遞參數(shù),或從子程序返回參數(shù),以及用來存放計(jì)算的中間值。4 個32位累加器(AC0-AC3),可以按字節(jié)、字和雙字來存取累加器中的數(shù)據(jù)13高速計(jì)數(shù)器(HC) 高速計(jì)數(shù)器用來累計(jì)比CPU的掃描速率更快的事件,其當(dāng)前值和設(shè)定值為32位有符號整數(shù),當(dāng)前值為只讀數(shù)據(jù)。14常數(shù)的表示方法與范圍 常數(shù)值可以是字節(jié)、字或雙字,CPU以二進(jìn)制方式存儲常數(shù),常數(shù)也可以用十進(jìn)制、十六進(jìn)

36、制ASCII碼或浮點(diǎn)數(shù)形式來 323直接尋址與間接尋址1直接尋址 在指令中直接使用存儲器或寄存器的元件名稱和地址編號,直接查找數(shù)據(jù),如VW790、VBl00。2.間接尋址 使用地址指針來存取存儲器中的數(shù)據(jù), 使用前,首先將數(shù)據(jù)所在單元的內(nèi)存地址放入地址指針寄存器中,然后根據(jù)此地址指針存取數(shù)據(jù)可間接尋址:I、Q、V、M、S、T(僅當(dāng)前值)、C (僅當(dāng)前值)、AI、AQ。不可間接尋址:位(bit)地址、HC、L存儲區(qū)。1).建立指針只能用V、L或ACl、AC2和AC3作指針。例如:MOVD VB200,AC1MOVD C3,VD6MOVD MB4,LD8 2)用指針來存取數(shù)據(jù)(圖3-7)例如:MO

37、VD VB200,AC1 MOVW *AC1,AC0 3)修改指針例:INCD AC1 指針增加兩次,指向下一個字。 INCD AC1 MOVW *AC1,AC0 將AC1所指向的字的數(shù)值送AC0 3.3 位邏輯指令3.3.1 觸點(diǎn)指令1.標(biāo)準(zhǔn)觸點(diǎn)指令 LD(Load裝載)、A(And,與)和0(Or,或) :LDN(Load Not)、AN(And Not)和0N(Or Not)2.堆棧的基本概念 S7200有1個9位的堆棧,棧頂用來存儲邏輯運(yùn)算的結(jié)果下面的8位用來存儲中間運(yùn)算結(jié)果。堆棧中的數(shù)據(jù)一般按“先進(jìn)后出”的原則存取。(表3-6)1標(biāo)準(zhǔn)觸點(diǎn)指令邏輯關(guān)系 梯形圖 助記符I0.0I0.1L

38、DI0.0AI0.1=Q0.0LDI0.0OI0.1=Q0.0LDN I0.1=Q0.0與或非ANDORNOT當(dāng) I0.0與 I0.1 都 “ON” 時,則輸出 Q0.0 “ON”(1)。當(dāng) I0.0 或 I0.0 “ON” 時,則輸出 Y0 “ON”(1)當(dāng) I0.1 “OFF” 時則輸出 Q0.0 “ON”(1)Q0.0I0.0I0.1Q0.0Q0.0I0.1I0.0*I0.1Q0.03 OLD(0r Load)指令 串聯(lián)電路塊的并聯(lián)連接。(兩個以上觸點(diǎn)串聯(lián)形成的支路叫串聯(lián)電路塊) OLD指令不需要地址,它相當(dāng)于需并聯(lián)的兩塊電路右端的一段垂直連線。 4 ALD(And Load)指令 并聯(lián)

39、電路塊的串聯(lián)連接。(兩條以上支路并聯(lián)形成的電路叫并聯(lián)電路塊)圖3-9中OLD后面的兩條指令將兩個觸點(diǎn)并聯(lián)。例3.2 (圖3-13)邏輯關(guān)系 梯形圖 助記符LDX0OX1LDX2OX3ALD=Y0LDX0AX1LDX2AN X3OLD=Y0當(dāng) “X0 或 X1”與“X2 或X3” 都 “ON” 時,則輸出 Y0 “ON”。區(qū)塊與ALD(And Stack)區(qū)塊或OLD(Or Stack)當(dāng) “X0 與 X1”或“X2 與X3非” “ON” 時,則輸出 Y0 “ON”。X0X1X2X3Y0X0X2X1X3Y05其他堆棧操作指令邏輯入棧(LPS,Logic Push) 指令復(fù)制棧頂?shù)闹挡⑵鋲喝攵褩?/p>

40、的下一層,棧中原來的數(shù)據(jù)依次向下一層推移,棧底值被推出丟失。邏輯讀棧(LRD,Logic Read) 指令將第2層的數(shù)據(jù)復(fù)制到棧頂,第29層的數(shù)據(jù)不變,但原棧頂值消失。邏輯出棧(LPP,Logic Pop)指令使棧中各層的數(shù)據(jù)向上移動一層,第2層的數(shù)據(jù)成為堆棧新的棧頂值,棧頂原來的數(shù)據(jù)從棧內(nèi)消失。裝載堆棧(LDS n,Load Stack,n=18) (見圖3-11) 6立即觸點(diǎn) 立即(Immediate)觸點(diǎn)指令只能用于輸入I,執(zhí)行立即觸點(diǎn)指令時,立即讀人物理輸人點(diǎn)的值,根據(jù)該值決定觸點(diǎn)的接通斷開狀態(tài),但是并不更新該物理輸人點(diǎn)對應(yīng)的映像寄存器。3.3.3輸出指令 1輸出(=) 驅(qū)動線圈(S、

41、M、Q) 2立即輸出(=I) 只能用于輸出量(Q),執(zhí)行該指令時,將棧頂值立即寫入指定的物理輸出位和對應(yīng)的輸出映像寄存器。 3置位與復(fù)位(S、R) 4立即置位與立即復(fù)位(Sl、Rl) 5其他指令 1取反(NOT) 2跳變觸點(diǎn)(EU、ED) 3空操作指令(NOP)立即I/O指令立即輸入直接讀取物理輸入點(diǎn)的值,輸入映象寄存器內(nèi)容不更新。指令操作數(shù)僅限于輸入物理點(diǎn)的值 。立即I/O指令立即輸出執(zhí)行立即輸出指令時,則將結(jié)果同時立即復(fù)制到物理輸出點(diǎn)和相應(yīng)的輸出映象寄存器 置位、復(fù)位指令立即I/O指令立即置位和復(fù)位指令須指出:立即I/O指令是直接訪問物理輸入輸出點(diǎn)的,比一般指令訪問輸入輸出映象寄存器占用C

42、PU時間要長,因而不能盲目地使用立即指令,否則,會加長掃描周期時間,反而對系統(tǒng)造成不利影響??詹僮髡冐?fù)跳變能流到達(dá)取非觸點(diǎn)時,能流就停止;能流未到達(dá)取非觸點(diǎn)時,能流就通過。檢測到每一次正跳變(信號后,讓能流通過一個掃描周期的時間 檢測到每一次負(fù)跳變信號后,讓能流通過一個掃描周期的時間 取非輸入映象寄存器I0.0輸出映象寄存器Q0.0輸出映象寄存器Q0.1輸出映象寄存器Q0.2一個周期一個周期空操作指令(NOP N)不影響程序的執(zhí)行。操作數(shù)N是常數(shù) 0255編程中應(yīng)注意的幾個問題X0Y0X1Y0X1Y0X0Y0一、用電路變換簡化程序(減少指令的條數(shù))二、邏輯關(guān)系應(yīng)盡量清楚(避免左輕右重)X3

43、X2X5X4X6X8X7Y0X9X3X2X5X4X6X8X7Y0X9X5X6X2X2LD X2AN X3A X4LD X2A X5AN X6A X7LD X2A X5AN X6A X8AN X9OLD= Y0ED三、避免出現(xiàn)無法編程的梯形圖X5X1X3X2Y1X4Y2LD X3A X5O X1AN X2= Y1LD X1A X5O X3AN X4= Y2EDX1X3X2Y1X5X3X1X4Y2X53.4 定時器與計(jì)數(shù)器指令3.4.1 定時器指令輸入接點(diǎn)設(shè)定值:132767定時器號碼(0255)INPTT37TON定時器分辨率(時基)有三種:1ms、10ms、100ms。定時器的分辨率由定時器號

44、決定 定時器的實(shí)際設(shè)定時間T=設(shè)定值PT分辨率 TON:接通延時定時器 TOF:斷開延時定時器 TONR:有記憶接通延時定時器類型 1. 通電延時定時器(TON)2. 斷電延時定時器(TOF)。 3保持型通電延時定時器(TONR)(圖3-18)。 4定時器當(dāng)前值刷新的方法1).1ms定時器:由系統(tǒng)每隔1ms刷新一次,與掃描周期及程序處理無關(guān)。2) 10ms定時器:由系統(tǒng)在每個掃描周期開始時自動刷新,由于只是每個掃描周期只刷新一次,故在一個掃描周期內(nèi)定時器位和當(dāng)前值保持不變。3 100ms定時器:在定時器指令被執(zhí)行時被刷新,因此,如果啟動了100ms定時器但不是每個掃描周期都執(zhí)行定時器指令或在一

45、個掃描周期內(nèi)多次執(zhí)行定時器指令,則會造成計(jì)時失準(zhǔn)。 100ms定時器僅用在定時器指令在每個掃描周期執(zhí)行一次的程序中。自復(fù)位式的定時器 T33T33T33錯誤正確3.4.2. 計(jì)數(shù)器指令定時器是對PLC內(nèi)部的時鐘脈沖進(jìn)行計(jì)數(shù),而計(jì)數(shù)器是對外部的或由程序產(chǎn)生的計(jì)數(shù)脈沖進(jìn)行計(jì)數(shù) 。當(dāng)前值:計(jì)數(shù)器累計(jì)計(jì)數(shù)的當(dāng)前值(16位有符號整數(shù)),它存放在計(jì)數(shù)器的16位(bit)當(dāng)前值寄存器中。 每個計(jì)數(shù)器只有一個16位的當(dāng)前值寄存器地址。在一個程序中,同一計(jì)數(shù)器號不要重復(fù)使用,更不可分配給幾個不同類型的計(jì)數(shù)器。 1.增計(jì)數(shù)器設(shè)定值:-3276832767CUPVC20CTUR復(fù)位計(jì)脈沖數(shù)2.增/減計(jì)數(shù)器增計(jì)數(shù)減計(jì)

46、數(shù)復(fù)位C(0255)I0.1I1.1I1.2當(dāng)前值輸出端當(dāng)前值3.減計(jì)數(shù)器CUPVC(0255)CTDLD復(fù)位,裝設(shè)定值計(jì)脈沖數(shù)I1.3I1.4當(dāng)前值輸出21計(jì)數(shù)器當(dāng)前值等于0時,停止計(jì)數(shù),同時計(jì)數(shù)器位被置位“1” 設(shè)定值 計(jì)數(shù)器應(yīng)用舉例:產(chǎn)品數(shù)量檢測產(chǎn)品通過檢測器PH機(jī)械手KM1KM2傳送帶電機(jī)PLC的I/O分配:I0.0 傳送帶停機(jī)按鈕I0.1傳送帶起動按鈕I0.2 產(chǎn)品通過檢測器PHQ0.0傳送帶電機(jī)KM1Q0.1機(jī)械手KM2T37定時器,定時2秒C10計(jì)數(shù)器,初始值24(每24個產(chǎn)品機(jī)械手動作1次) 機(jī)械手動作后,延時2秒,將機(jī)械手電磁鐵切斷,同時將C20復(fù)位。C20復(fù)位后,Q0.1和

47、T37也復(fù)位 電機(jī)起動后,R1產(chǎn)生寬度為一個掃描周期的正脈沖,使C20和T37復(fù)位 起、停傳送帶電機(jī)計(jì)數(shù)器應(yīng)用舉例:產(chǎn)品數(shù)量檢測Q0.0Q0.0I0.224C20T3720C20Q0.1每檢測到一個產(chǎn)品,X2產(chǎn)生一個正脈沖, 使C20計(jì)一個數(shù) C20每計(jì)24個數(shù),機(jī)械手動作一次 機(jī)械手動作后,延時2秒,將機(jī)械手 電磁鐵切斷,同時將C20復(fù)位。C20 復(fù)位后,Q0.1和T37也復(fù)位( )I0.0I0.1C20CUPVCTUR( )INPTTONT37T37PQ0.0第4章數(shù)字量控制系統(tǒng)梯形圖程序設(shè)計(jì)方法 數(shù)字量控制系統(tǒng)又稱開關(guān)量控制系統(tǒng),繼電器控制系統(tǒng)就是典型的數(shù)字量控制系統(tǒng) 4.1梯形圖的經(jīng)驗(yàn)

48、設(shè)計(jì)法經(jīng)驗(yàn)設(shè)計(jì)法:在典型電路的基礎(chǔ)上,根據(jù)對控制系統(tǒng)要求,不斷地修改和完善梯形圖。特點(diǎn):無規(guī)律可循,結(jié)果不唯一,設(shè)計(jì)所用的時間、設(shè)計(jì)的質(zhì)量與設(shè)計(jì)者的經(jīng)驗(yàn)有很大的關(guān)系,它可以用于較簡單的梯形圖(如手動程序)的設(shè)計(jì)。4.1.1 起動保持和停止電路直接啟動停車控制繼電器控制電路圖I/O分配:I0.0:停車I0.1:啟動Q0.1:KM梯形圖:語句表LD I0.1O Q0.0A I0.0= Q0.0停止優(yōu)先Q0.0I0.0Q0.0I0.1啟動優(yōu)先I/O分配決定PLC的端子接線圖PLC的端子接線方式又決定編程語言I/O分配:I0.0:停車I0.1:啟動Q0.1:KMQ0.0I0.0Q0.0I0.14.1.

49、2 定時器應(yīng)用電路例4.1延時接通/斷開電路例4.2定時范圍擴(kuò)展例4.3閃爍電路( 圖4-4)。 4.1.3經(jīng)驗(yàn)設(shè)計(jì)法舉例小車自動往返運(yùn)動的梯形圖設(shè)計(jì)4.1.4常閉觸點(diǎn)輸入信號的處理例:SB1(I0.2);FR(I0.5) 如果在PLC的外部接線圖中SB1或FR的觸點(diǎn)類型用常閉觸點(diǎn),則使繼電器電路圖和梯形圖中對應(yīng)的觸點(diǎn)類型剛好相反,給梯形圖的分析帶來不便。 為了使梯形圖和繼電器電路圖中觸點(diǎn)的類型相同,建議盡可能地常開觸點(diǎn)作PLC的輸入信號。如果某些信號只能用常閉觸點(diǎn)輸入,可以按輸入全部為常開觸點(diǎn)來設(shè)計(jì),然后將梯形圖中相應(yīng)的輸入位的觸點(diǎn)改為相反的觸點(diǎn)。 4.2 根據(jù)繼電器控制電路設(shè)計(jì)梯形圖的方法

50、例:是某三速異步電動機(jī)起動和自動加速的繼電器控制電路圖。注意事項(xiàng)1.遵守梯形圖語言中的語法規(guī)定。a.線圈必須放在電路的最右邊。b.兩條包含觸點(diǎn)和線圈的串聯(lián)電路并聯(lián),可以將各線圈的控制電路分開設(shè)計(jì)。(也可不分開)2.置中間單元。 若多個線圈都受某一觸點(diǎn)串并聯(lián)電路的控制,為了簡化電路,在梯形圖中可以設(shè)置用該電路控制的存儲器位(M0.1) 3.盡量減少可編程序控制器的輸入信號和輸出信號。 a.在繼電器電路中,如果幾個輸入器件觸點(diǎn)的串并聯(lián)電路總是作為一個整體出現(xiàn),可以將它們作為PLC的一個輸入信號,只占用PLC的一個輸入點(diǎn)。 b.某些器件的觸點(diǎn)如果在繼電器電路中只出現(xiàn)一次,并且與PLC輸出端的負(fù)載串聯(lián)

51、,不必將它們作為PLC的輸入信號,可以將它們放在PLC的外部輸出回路,仍與相應(yīng)的外負(fù)載串聯(lián)。 c.繼電器控制系統(tǒng)中某些相對獨(dú)立且比較簡單的部分,可以用繼電器電路控制。4.外部聯(lián)鎖電路的設(shè)立。為了防止控制正反轉(zhuǎn)的兩個接觸器同時動作造成三相電源短路,應(yīng)在PLC外部設(shè)置硬件聯(lián)鎖電路。(KM1KM3)5.梯形圖的優(yōu)化設(shè)計(jì) 為了減少語句表指令條數(shù),在串聯(lián)電路中單個觸點(diǎn)應(yīng)放在右邊,在并聯(lián)電路中單個觸點(diǎn)應(yīng)放在下向。 6.外部負(fù)載的額定電壓。 PLC的繼電器輸出模塊和雙相可控硅輸出模塊只能驅(qū)動額定電壓AC220V的負(fù)載。43 順序控制設(shè)計(jì)法與順序功能圖431順序控制設(shè)計(jì)法1.經(jīng)驗(yàn)設(shè)計(jì)法存在的問題:用經(jīng)驗(yàn)設(shè)計(jì)法

52、設(shè)計(jì)梯形圖時,沒有一套同定的方法和步驟可以遵循,具有很大的試探性和隨意性,對于不同的控制系統(tǒng),沒有一種通用的容易掌握的設(shè)計(jì)方法。梯形圖往往很難閱讀,系統(tǒng)的維修和改進(jìn)困難。2.定義: 所謂順序控制,就是按照生產(chǎn)工藝預(yù)先規(guī)定的順序,在各個輸入信號的作用下,根據(jù)內(nèi)部狀態(tài)和時間的順序,在生產(chǎn)過程中各個執(zhí)行機(jī)構(gòu)自動地有秩序地進(jìn)行操作。首先根據(jù)系統(tǒng)的工藝過程,畫出順序功能圖,然后根據(jù)順序功能圖畫出梯形圖。 3.順序控制設(shè)計(jì)法的基本思想:將系統(tǒng)的一個工作周期劃分為若干個順序相連的階段,這些階段稱為步(step),并用編程元件(M和S)來代表各步。a.步的劃分:根據(jù)輸出量的狀態(tài),在任何一步之內(nèi),各輸出量的ON

53、OFF狀態(tài)不變,但是相鄰兩步輸出量的狀態(tài)是不同的。b. 轉(zhuǎn)換條件:使系統(tǒng)由當(dāng)前步進(jìn)入下一步的信號。432順序功能圖1順序功能圖的由來功用:描述控制系統(tǒng)的控制過程、功能和特性的一種圖形,也是設(shè)計(jì)可編程序控制器的順序控制程序的有力工具。組成:步、有向連線、轉(zhuǎn)換、轉(zhuǎn)換條件、動作2.步 1)表示方法: 用矩形方框,方框中可以用數(shù)字,編程元件的地址作為步的編號。 2)初始步: 與系統(tǒng)的初始狀態(tài)相對應(yīng)的步稱為初始步,初始步用雙線方框表示。 3)與步對應(yīng)的動作或命令。 4)活動步:系統(tǒng)正處于某一步所在的階段時該步處于活動狀態(tài)。3有向連線與轉(zhuǎn)換條件 1)有向連線:從上到下或從左至右箭頭不標(biāo),反之標(biāo)出。 2)轉(zhuǎn)

54、換:用有向連線上與有向連線垂直的短劃線來表示,將相鄰兩步隔開。 3)轉(zhuǎn)換條件: 轉(zhuǎn)換條件是與轉(zhuǎn)換相關(guān)的邏輯命題,轉(zhuǎn)換條件可以用文字語言、布爾代數(shù)表達(dá)式或圖形符號標(biāo)注在表示轉(zhuǎn)換的短線的旁邊。 4基本結(jié)構(gòu)1)單序列2)選擇序列3)并列序列4)順序功能圖舉例5轉(zhuǎn)換實(shí)現(xiàn)的基本規(guī)則 1)轉(zhuǎn)換實(shí)現(xiàn)的條件 (1)該轉(zhuǎn)換所有的前級步都是活動步。 (2)相應(yīng)的轉(zhuǎn)換條件得到滿足。 2)轉(zhuǎn)換實(shí)現(xiàn)應(yīng)完成的操作 (1)使所有由有向連線與相應(yīng)轉(zhuǎn)換符號相連的后續(xù)步都變?yōu)榛顒硬健?(2)使所有由有向連線與相應(yīng)轉(zhuǎn)換符號相連的前級步都變?yōu)椴换顒硬健?注意事項(xiàng) (1)兩個步絕對不能直接相連必須用一個轉(zhuǎn)換將它們隔開。 (2)兩個轉(zhuǎn)換

55、也不能直接相連必須用一個步將它們隔開。 (3)初始步一般對應(yīng)于系統(tǒng)等待起動的初始狀態(tài),始步是必不可少。(4)自動控制系統(tǒng)應(yīng)能多次重復(fù)執(zhí)行同一工藝過程,一般應(yīng)有由步和有向連線組成的閉環(huán),即在完成一次工藝過程的全部操作之后,應(yīng)從最后一步返回初始步,系統(tǒng)停留在初始狀態(tài)(單周期,圖4-12),在連續(xù)循環(huán)工作方式時,將從最后一步返回下一工作周期開始運(yùn)行的第一步(圖4-17)。(5)只有當(dāng)某一步的前級步是活動步時,該步才有可能變成活動步 第5章 順序控制梯形圖的設(shè)計(jì)方法 定義:根據(jù)順序功能圖設(shè)計(jì)梯形圖的方法。方法:使用起保停電路;以轉(zhuǎn)換為中心;使用控制繼電器。5.1 使用起保停電路設(shè)計(jì)順序控制梯形圖的方法

56、特點(diǎn):起保停電路僅僅使用觸點(diǎn)和線圈有關(guān)指令,任何一種PLC的指令系統(tǒng)都有這一類指令,因此這是一種通用的編程方法,可以用于任意型號的PLC.方法:根據(jù)轉(zhuǎn)換實(shí)現(xiàn)的基本規(guī)則,轉(zhuǎn)換實(shí)現(xiàn)的條件是它的前步為活動步,并且滿足相應(yīng)的轉(zhuǎn)換條件,(步M0.1變?yōu)榛顒硬降臈l件是它的前級步M0.0為活動步,且轉(zhuǎn)換條件I0.0為)轉(zhuǎn)換實(shí)現(xiàn)應(yīng)完成的操作是后續(xù)步都變?yōu)榛顒硬?,前級步都變?yōu)椴换顒硬健#ú組0.1變?yōu)榛顒硬剑?M0.0變?yōu)椴换顒硬剑?.1.1單序列的編程方法例.鍋爐的鼓風(fēng)機(jī)和引風(fēng)機(jī)梯形圖設(shè)計(jì)順序控制電路電路的設(shè)計(jì)方法M0.1:起動M0.0與I0.0的常開觸點(diǎn)串聯(lián),停止M0.2的常閉觸點(diǎn)與M0.1的線圈串聯(lián),保

57、持M0.1的常開觸點(diǎn)與M0.0與I0.0的常開觸點(diǎn)串聯(lián)電路并聯(lián)。輸出電路的設(shè)計(jì)方法 1)某一輸出量僅在某一步中為ON,將它的線圈與對應(yīng)步的存儲器位(M0.2)的線圈并聯(lián)。 2)某一輸出在幾步中都為ON,將代表各有關(guān)步的存儲器位的常開觸點(diǎn)并聯(lián)后,驅(qū)動該輸出的線圈。(M0.1MO.3的常開觸點(diǎn)并聯(lián)驅(qū)動Q0.O的線圈) .選擇序列的編程方法1.選擇序列分支的編程2.選擇序列合并的編程 3.僅有兩步的閉環(huán)的處理4. 選擇序列應(yīng)用舉例.并行序列的編程方法1.并行序列分支的編程2.并行序列合并的編程3.并行序列應(yīng)用舉例 5.2以轉(zhuǎn)換為中心的順序控制梯形圖設(shè)計(jì)方法.單序列的編程方法1.梯形圖與順序功能圖的對

58、應(yīng)關(guān)系:方法:用該轉(zhuǎn)換所有前級步對應(yīng)的存儲器位的常開觸點(diǎn)與轉(zhuǎn)換對應(yīng)的觸點(diǎn)或電路串聯(lián),用它作為使所有后續(xù)步對應(yīng)的存儲器位置位,和使所有前級步對應(yīng)的存儲器位復(fù)位的條件。特點(diǎn):這種設(shè)計(jì)方法特別有規(guī)律。梯形圖與轉(zhuǎn)換實(shí)現(xiàn)的基本規(guī)則之間有著嚴(yán)格的對應(yīng)關(guān)系,在設(shè)計(jì)復(fù)雜的順序功能圖的梯形圖時既容易掌握,又不容易出錯。2.某組合機(jī)床的動力頭控制系統(tǒng)梯形圖設(shè)計(jì)注意:使用這種編程方法時,不能將輸出位的線圈與置位指令和復(fù)位指令并聯(lián)。.選擇序列的編程方法.并行序列的編程方法.應(yīng)用舉例5.3使用SCR指令的順序控制梯形圖設(shè)計(jì)方法531順序控制繼電器指令順序控制繼電器S專門用于編制順序控制程序。裝載順序控制繼電器(Load

59、 Sequence Control Relay)指令LSCR S_bit:表示一個SCR段即順序功能圖中的步的開始。 順序控制繼電器結(jié)束(sequence Control Relay End)指令SCRE:表示SCR段的結(jié)束。 順序控制繼電器轉(zhuǎn)換(sequence Control Relay Transition)指令SCRT S_bit :表示SCR段之間的轉(zhuǎn)換,即步的活動狀態(tài)的轉(zhuǎn)換。使用SCR時有如下的限制:不能在不同的程序中使用相同的s位;不能在SCR段中使用JMP及LBL指令,即不允許用跳轉(zhuǎn)的方法跳人或跳出SCR段;不能在SCR段中使用FOR、NEXT和END指令5.2 單序列編程方法

60、某小車運(yùn)動的梯形圖設(shè)計(jì)。5.3. 選擇序列與并行序列的編程方法5.3.應(yīng)用舉例54具有多種工作方式的系統(tǒng)的順序控制梯形圖設(shè)計(jì)方法5.4.1系統(tǒng)的硬件結(jié)構(gòu)與工作方式1.硬件結(jié)構(gòu)多種工作方式:手動和自動(包括連續(xù)、單周期、單步、自動返回初始狀態(tài)等)手動程序比較簡單,一般用經(jīng)驗(yàn)法設(shè)計(jì),復(fù)雜的自動程序一般根據(jù)系統(tǒng)的順序功能圖用順序控制法設(shè)計(jì)。例:某機(jī)械手用來將工件從A點(diǎn)搬運(yùn)到B點(diǎn)(圖5-16),控制面板(圖5-17),外部接線圖(圖5-18)。2.工作方式:系統(tǒng)設(shè)有手動、單周期、單步、連續(xù)和回原點(diǎn)5種工作方式。2.程序的總體結(jié)構(gòu)圖5-19是主程序OB1,SM0.0的常開觸點(diǎn)一直閉合,公用程序是無條件執(zhí)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論