模電數(shù)電基礎(chǔ)筆試總結(jié)_第1頁(yè)
模電數(shù)電基礎(chǔ)筆試總結(jié)_第2頁(yè)
模電數(shù)電基礎(chǔ)筆試總結(jié)_第3頁(yè)
模電數(shù)電基礎(chǔ)筆試總結(jié)_第4頁(yè)
已閱讀5頁(yè),還剩3頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、模電數(shù)電基礎(chǔ)筆試總結(jié)模電數(shù)電基礎(chǔ)筆試總結(jié)模電數(shù)電基礎(chǔ)筆試總結(jié)V:1.0精細(xì)整理,僅供參考 模電數(shù)電基礎(chǔ)筆試總結(jié)日期:20 xx年X月模擬電路(基本概念和知識(shí)總攬)1、基本放大電路種類(電壓放大器,電流放大器,互導(dǎo)放大器和互阻放大器),優(yōu)缺點(diǎn),特別是廣泛采用差分結(jié)構(gòu)的原因。2、負(fù)反饋種類(電壓并聯(lián)反饋,電流串聯(lián)反饋,電壓串聯(lián)反饋和電流并聯(lián)反饋);負(fù)反 饋的優(yōu)點(diǎn)(降低放大器的增益靈敏度,改變輸入電阻和輸出電阻,改善放大器的線性和非 線性失真,有效地?cái)U(kuò)展放大器的通頻帶,自動(dòng)調(diào)節(jié)作用)3、基爾霍夫定理的內(nèi)容是什么基爾霍夫定律包括電流定律和電壓定律。電流定律:在集總電路中,任何時(shí)刻,對(duì)任一節(jié)點(diǎn),所有流出

2、節(jié)點(diǎn)的支路電流代數(shù)和恒等于零。電壓定律:在集總電路中,任何時(shí)刻,沿任一回路,所有支路電壓的代數(shù)和恒等于零。4、描述反饋電路的概念,列舉他們的應(yīng)用反饋,就是在電子系統(tǒng)中,把輸出回路中的電量輸入到輸入回路中去。反饋的類型有:電壓串聯(lián)負(fù)反饋、電流串聯(lián)負(fù)反饋、電壓并聯(lián)負(fù)反饋、電流并聯(lián)負(fù)反饋。負(fù)反饋的優(yōu)點(diǎn):降低放大器的增益靈敏度,改變輸入電阻和輸出電阻,改善放大器的線性和非線性失真,有效地?cái)U(kuò)展放大器的通頻帶,自動(dòng)調(diào)節(jié)作用。電壓(流)負(fù)反饋的特點(diǎn):電路的輸出電壓(流)趨向于維持恒定。5、有源濾波器和無源濾波器的區(qū)別無源濾波器:這種電路主要有無源元件R、L和C組成有源濾波器:集成運(yùn)放和R、C組成,具有不用電

3、感、體積小、重量輕等優(yōu)點(diǎn)。集成運(yùn)放的開環(huán)電壓增益和輸入阻抗均很高,輸出電阻小,構(gòu)成有源濾波電路后還具有一定的電壓放大和緩沖作用。但集成運(yùn)放帶寬有限,所以目前的有源濾波電路的工作頻率難以做得很高。6、基本放大電路的種類及優(yōu)缺點(diǎn),廣泛采用差分結(jié)構(gòu)的原因。答:基本放大電路按其接法的不同可以分為共發(fā)射極放大電路、共基極放大電路和共集電極放大電路,簡(jiǎn)稱共基、共射、共集放大電路。共射放大電路既能放大電流又能放大電壓,輸入電阻在三種電路中居中,輸出電阻較大,頻帶較窄。常做為低頻電壓放大電路的單元電路。共基放大電路只能放大電壓不能放大電流,輸入電阻小,電壓放大倍數(shù)和輸出電阻與共射放大電路相當(dāng),頻率特性是三種接

4、法中最好的電路。常用于寬頻帶放大電路。共集放大電路只能放大電流不能放大電壓,是三種接法中輸入電阻最大、輸出電阻最小的電路,并具有電壓跟隨的特點(diǎn)。常用于電壓放大電路的輸入級(jí)和輸出級(jí),在功率放大電路中也常采用射極輸出的形式。廣泛采用差分結(jié)構(gòu)的原因是差分結(jié)構(gòu)可以抑制零點(diǎn)漂移現(xiàn)象。7、二極管主要用于限幅,整流,鉗位判斷二極管是否正向?qū)ǎ合燃僭O(shè)二極管截止,求其陽極和陰極電位;若陽極陰極電位差 UD ,則其正向?qū)ǎ蝗綦娐酚卸鄠€(gè)二極管,陽極和陰極電位差最大的二極管優(yōu)先導(dǎo)通;其導(dǎo)通后,其陽極陰極電位差被鉗制在正向?qū)妷海?7V 或.V );再判斷其它二極管 【例1】 下圖中,已知VA=3V, VB=0V

5、, DA 、DB為鍺管,求輸出端Y的電位,并說明每個(gè)二極管的作用。 DA 12VYABDBR解:解: DA優(yōu)先導(dǎo)通,則VY=3=DA導(dǎo)通后,DB因反偏而截止,起隔離作用,DA起鉗位作用,將Y端的電位鉗制在+。 數(shù)字電路(基本概念和知識(shí)總攬)1、數(shù)字信號(hào):指的是在時(shí)間上和數(shù)值上都是離散的信號(hào);即信號(hào)在時(shí)間上不連續(xù),總是發(fā)生在一序列離散的瞬間;在數(shù)值上量化,只能按有限多個(gè)增量或階梯取值。(模擬信號(hào):指在時(shí)間上和數(shù)值上都是連續(xù)的信號(hào)。)2、數(shù)字電路主要研究電路輸入、輸出狀態(tài)之間的相互關(guān)系,即邏輯關(guān)系。分析和設(shè)計(jì)數(shù)字電路的數(shù)學(xué)工具是邏輯代數(shù),由英國(guó)數(shù)學(xué)家布爾1849年提出,因此也稱布爾代數(shù)。3、邏輯代

6、數(shù)有三種最基本的運(yùn)算:與、或、非?;具壿嫷暮?jiǎn)單組合稱為復(fù)合邏輯。4、邏輯代數(shù)三個(gè)基本規(guī)則:代入規(guī)則、反演規(guī)則和對(duì)偶規(guī)則。5、化簡(jiǎn)電路是為了降低系統(tǒng)的成本,提高電路的可靠性,以便使用最少集成電路實(shí)現(xiàn)功能。6、把若干個(gè)有源器件和無源器件及其導(dǎo)線,按照一定的功能要求制作在同一塊半導(dǎo)體芯片上,這樣的產(chǎn)品叫集成電路。最簡(jiǎn)單的數(shù)字集成電路就是集成邏輯門,以基本邏輯門為基礎(chǔ),可構(gòu)成各種功能的組合邏輯電路和時(shí)序邏輯電路。7、TTL門電路:是目前雙極型數(shù)字集成電路使用最多的一種,由于輸入端和輸出端的結(jié)構(gòu)形成都采用了半導(dǎo)體三極管,所以也稱晶體管-晶體管邏輯門電路。TTL與非門是TTL門電路的基本單元。最常用的集

7、成邏輯門電路TTL門和CMOS門。問題集錦1、同步電路和異步電路的區(qū)別是什么同步電路:存儲(chǔ)電路中所有觸發(fā)器的時(shí)鐘輸入端都接同一個(gè)時(shí)鐘脈沖源,因而所有觸發(fā)器的狀態(tài)的變化都與所加的時(shí)鐘脈沖信號(hào)同步。異步電路:電路沒有統(tǒng)一的時(shí)鐘,有些觸發(fā)器的時(shí)鐘輸入端與時(shí)鐘脈沖源相連,這有這些觸發(fā)器的狀態(tài)變化與時(shí)鐘脈沖同步,而其他的觸發(fā)器的狀態(tài)變化不與時(shí)鐘脈沖同步。2、什么是線與邏輯,要實(shí)現(xiàn)它,在硬件特性上有什么具體要求將兩個(gè)門電路的輸出端并聯(lián)以實(shí)現(xiàn)與邏輯的功能成為線與。在硬件上,要用OC門來實(shí)現(xiàn),同時(shí)在輸出端口加一個(gè)上拉電阻。由于不用OC門可能使灌電流過大,而燒壞邏輯門。3、解釋setup和hold time v

8、iolation,畫圖說明,并說明解決辦法。Setup/hold time是測(cè)試芯片對(duì)輸入信號(hào)和時(shí)鐘信號(hào)之間的時(shí)間要求。建立時(shí)間是指觸發(fā)器的時(shí)鐘信號(hào)上升沿到來以前,數(shù)據(jù)穩(wěn)定不變的時(shí)間。輸入信號(hào)應(yīng)提前時(shí)鐘上升沿(如上升沿有效)T時(shí)間到達(dá)芯片,這個(gè)T就是建立時(shí)間-Setup time.如不滿足setup time,這個(gè)數(shù)據(jù)就不能被這一時(shí)鐘打入觸發(fā)器,只有在下一個(gè)時(shí)鐘上升沿,數(shù)據(jù)才能被打入觸發(fā)器。保持時(shí)間是指觸發(fā)器的時(shí)鐘信號(hào)上升沿到來以后,數(shù)據(jù)穩(wěn)定不變的時(shí)間。如果hold time不夠,數(shù)據(jù)同樣不能被打入觸發(fā)器。建立時(shí)間(Setup Time)和保持時(shí)間(Hold time)。建立時(shí)間是指在時(shí)鐘邊沿

9、前,數(shù)據(jù)信號(hào)需要保持不變的時(shí)間。保持時(shí)間是指時(shí)鐘跳變邊沿后數(shù)據(jù)信號(hào)需要保持不變的時(shí)間。如果數(shù)據(jù)信號(hào)在時(shí)鐘沿觸發(fā)前后持續(xù)的時(shí)間均超過建立和保持時(shí)間,那么超過量就分別被稱為建立時(shí)間裕量和保持時(shí)間裕量。4、什么是競(jìng)爭(zhēng)與冒險(xiǎn)現(xiàn)象怎樣判斷如何消除(漢王筆試)在組合邏輯中,由于門的輸入信號(hào)通路中經(jīng)過了不同的延時(shí),導(dǎo)致到達(dá)該門的時(shí)間不一致叫競(jìng)爭(zhēng)。產(chǎn)生毛刺叫冒險(xiǎn)。判斷方法:代數(shù)法、圖形法(是否有相切的卡諾圈)、表格法(真值表)。如果布爾式中有相反的信號(hào)則可能產(chǎn)生競(jìng)爭(zhēng)和冒險(xiǎn)現(xiàn)象。解決方法:一是添加布爾式的消去項(xiàng);二是在芯片外部加電容;三是加入選通信號(hào)。5、名詞:SRAM、SSRAM、SDRAM:(SRAM:靜態(tài)

10、RAM; DRAM:動(dòng)態(tài)RAM; SSRAM:Synchronous Static Random Access Memory同步靜態(tài)隨機(jī)訪問存儲(chǔ)器。它的一種類型的SRAM。SSRAM的所有訪問都在時(shí)鐘的上升/下降沿啟動(dòng)。地址、數(shù)據(jù)輸入和其它控制信號(hào)均于時(shí)鐘信號(hào)相關(guān)。這一點(diǎn)與異步SRAM不同,異步SRAM的訪問獨(dú)立于時(shí)鐘,數(shù)據(jù)輸入和輸出都由地址的變化控制。SDRAM:Synchronous DRAM同步動(dòng)態(tài)隨機(jī)存儲(chǔ)器6、 HYPERLINK HYPERLINK FPGA和ASIC的概念,他們的區(qū)別。(未知) 答案:FPGA是可編程ASIC。ASIC:專用集成電路,它是面向?qū)iT用途的電路,專門為一

11、個(gè)用戶設(shè)計(jì)和制造的。根據(jù)一個(gè)用戶的特定要求,能以低研制成本,短、交貨周期供貨的全定制,半定制集成電路。與門陣列等其它ASIC(Application Specific IC)相比,它們又具有設(shè)計(jì)開發(fā)周期短、設(shè)計(jì)制造成本低、開發(fā)工具先進(jìn)、標(biāo)準(zhǔn)產(chǎn)品無需測(cè)試、質(zhì)量穩(wěn)定以及可實(shí)時(shí)在線檢驗(yàn)等優(yōu)點(diǎn)。7、單片機(jī)上電后沒有運(yùn)轉(zhuǎn),首先要檢查什么a、首先應(yīng)該確認(rèn)電源電壓是否正常。用電壓表測(cè)量接地引腳跟電源引腳之間的電壓,看是否是電源電壓,例如常用的5V。b、接下來就是檢查復(fù)位引腳電壓是否正常。分別測(cè)量按下復(fù)位按鈕和放開復(fù)位按鈕的電壓值,看是否正確。c、然后再檢查晶振是否起振了,一般用示波器來看晶振引腳的波形;經(jīng)過

12、上面幾點(diǎn)的檢查,一般即可排除故障了。如果系統(tǒng)不穩(wěn)定的話,有時(shí)是因?yàn)殡娫礊V波不好導(dǎo)致的。在單片機(jī)的電源引腳跟地引腳之間接上一個(gè)的電容會(huì)有所改善。如果電源沒有濾波電容的話,則需要再接一個(gè)更大濾波電容,例如220uF的。遇到系統(tǒng)不穩(wěn)定時(shí),就可以并上電容試試(越靠近芯片越好)。8、什么是同步邏輯和異步邏輯(漢王筆試) 同步邏輯是時(shí)鐘之間有固定的因果關(guān)系。異步邏輯是各時(shí)鐘之間沒有固定的因果關(guān)系。9、你知道那些常用邏輯電平TTL與COMS電平可以直接互連嗎(漢王筆試) 常用邏輯電平:12V,5V,;TTL和CMOS不可以直接互連,由于TTL是在之間,而CMOS則是有在12V的有在5V的。CMOS輸出接到T

13、TL是可以直接互連。TTL接到CMOS需要在輸出端口加一上拉電阻接到5V或者12V。10、如何解決亞穩(wěn)態(tài)。(飛利浦大唐筆試) 答:亞穩(wěn)態(tài)是指觸發(fā)器無法在某個(gè)規(guī)定時(shí)間段內(nèi)達(dá)到一個(gè)可確認(rèn)的狀態(tài)。當(dāng)一個(gè)觸發(fā)器進(jìn)入亞穩(wěn)態(tài)時(shí),既無法預(yù)測(cè)該單元的輸出電平,也無法預(yù)測(cè)何時(shí)輸出才能穩(wěn)定在某個(gè)正確的電平上。在亞穩(wěn)態(tài)期間,觸發(fā)器輸出一些中間級(jí)電平,或者可能處于振蕩狀態(tài),并且這種無用的輸出電平可以沿信號(hào)通道上的各個(gè)觸發(fā)器級(jí)聯(lián)式傳播下去。解決方法主要有:(1) 降低系統(tǒng)時(shí)鐘;(2) 用反應(yīng)更快的FF;(3) 引入同步機(jī)制,防止亞穩(wěn)態(tài)傳播;(4) 改善時(shí)鐘質(zhì)量,用邊沿變化快速的時(shí)鐘信號(hào);(5) 使用工藝好、時(shí)鐘周期裕量

14、大的器件。11、鎖存器、觸發(fā)器、寄存器三者的區(qū)別。觸發(fā)器:能夠存儲(chǔ)一位二值信號(hào)的基本單元電路統(tǒng)稱為“觸發(fā)器”。鎖存器:一位觸發(fā)器只能傳送或存儲(chǔ)一位數(shù)據(jù),而在實(shí)際工作中往往希望一次傳送或存儲(chǔ)多位數(shù)據(jù)。為此可把多個(gè)觸發(fā)器的時(shí)鐘輸入端CP連接起來,用一個(gè)公共的控制信號(hào)來控制,而各個(gè)數(shù)據(jù)端口仍然是各處獨(dú)立地接收數(shù)據(jù)。這樣所構(gòu)成的能一次傳送或存儲(chǔ)多位數(shù)據(jù)的電路就稱為“鎖存器”。寄存器:在實(shí)際的數(shù)字系統(tǒng)中,通常把能夠用來存儲(chǔ)一組二進(jìn)制代碼的同步時(shí)序邏輯電路稱為寄存器。由于觸發(fā)器內(nèi)有記憶功能,因此利用觸發(fā)器可以方便地構(gòu)成寄存器。由于一個(gè)觸發(fā)器能夠存儲(chǔ)一位二進(jìn)制碼,所以把n個(gè)觸發(fā)器的時(shí)鐘端口連接起來就能構(gòu)成一

15、個(gè)存儲(chǔ)n位二進(jìn)制碼的寄存器。區(qū)別:從寄存數(shù)據(jù)的角度來年,寄存器和鎖存器的功能是相同的,它們的區(qū)別在于寄存器是同步時(shí)鐘控制,而鎖存器是電位信號(hào)控制??梢?,寄存器和鎖存器具有不同的應(yīng)用場(chǎng)合,取決于控制方式以及控制信號(hào)和數(shù)據(jù)信號(hào)之間的時(shí)間關(guān)系:若數(shù)據(jù)信號(hào)有效一定滯后于控制信號(hào)有效,則只能使用鎖存器;若數(shù)據(jù)信號(hào)提前于控制信號(hào)到達(dá)并且要求同步操作,則可用寄存器來存放數(shù)據(jù)。綜合類問題考查1、二極管的導(dǎo)通時(shí)的壓降。答:。2、三極管的工作條件。答:B極(基極)在有一定的電壓時(shí),發(fā)射極電壓應(yīng)該在以上。3、TTL電平的電壓值。答:5V上下浮動(dòng)10%,即 HYPERLINK t _blank 。4、電路分析主要講的

16、是什么,或者是圍繞著什么講的答:兩個(gè)定理,即基爾霍夫電壓定理,基爾霍夫電流定理。5、數(shù)字信號(hào)處理的實(shí)質(zhì)。答:數(shù)字算法或數(shù)學(xué)算法。通過數(shù)學(xué)或數(shù)字算法實(shí)現(xiàn)頻譜搬移,從而達(dá)到濾波的效果。6、單片機(jī)總線。答:數(shù)據(jù)總線、控制總線、地址總線(三總線)。P0口為I/O口,即可以是數(shù)據(jù)線,也可以是地址線,倘若都要使用時(shí),要用鎖存器將二者分開,做地址線時(shí),充當(dāng)?shù)刂肪€的低8位,高8位由P2口充當(dāng)。7、晶振的接法或分類。答:內(nèi)接晶振和外接晶振。晶振與口線的距離越近越好。否則,會(huì)對(duì)其他部分造成高頻干擾。8、鍵盤與控制器(或者是單片機(jī))連接時(shí)是如何工作的答:通過控制器(或者是單片機(jī))對(duì)鍵盤掃描,即:通過鍵盤與控制器相連

17、導(dǎo)線上的電平值來判斷按下的鍵盤,從而判斷相應(yīng)的鍵盤值,通過中斷,調(diào)用相應(yīng)的中斷服務(wù)子程序。一般是通過鍵盤的行掃描和列掃描判斷鍵盤。9、通信的三種解調(diào)方式。答:調(diào)頻、調(diào)相、調(diào)幅。10、語音信號(hào)的范圍和傳輸比特。答:語音信號(hào)的頻率為300 HYPERLINK t _blank 3400赫茲,取上限頻率。一般取 HYPERLINK t _blank 4000赫茲,有抽樣定理可知最低抽樣頻率為 HYPERLINK t _blank 8000赫茲,中國(guó)采用PCM編碼調(diào)制,即位8段,因此傳輸比特為64K。(順便提一點(diǎn),中國(guó)采用的是A律,與歐洲是一樣的,即13折線,日本、美國(guó)用的是u律,15折線)11、2M

18、帶寬。答:語音傳輸是64K,中國(guó)用的是30/32線路系統(tǒng),64K*32=2048k,即為我們所說的2M.12、無線傳輸為什么都是用的高頻。答:從客觀上來說,使用的頻段是已經(jīng)訂好的,常用的是80M120M。從專業(yè)角度上來分析,是因?yàn)樵诟哳l段上能提供較為理想的信道,達(dá)到信息良好的傳輸和帶寬的資源有效利用,而且這樣所提供的信道帶寬也比較寬。13、CDMA技術(shù)。答:CDMA技術(shù)是碼分多址技術(shù),是無線通訊產(chǎn)品和服務(wù)的新時(shí)代率先開發(fā)的、用于提供十分清晰的語音效果的數(shù)字技術(shù)。通過利用數(shù)字編碼擴(kuò)譜無線電頻率技術(shù),CDMA能夠提供比其他無線技術(shù)更好的、成本更低的語音效果、保密性、系統(tǒng)容量和靈活性,以及更加完善的

19、服務(wù)。14、CDMA的工作。答:CDMA利用擴(kuò)譜技術(shù)將語音分解成數(shù)字化的小片斷,然后進(jìn)行編碼,以區(qū)別每個(gè)電話。因而,大量的用戶能夠共享相同的頻譜,從而大大提高系統(tǒng)的性能。也就是說,CDMA使無線服務(wù)提供商將更多的數(shù)字化信號(hào)擠壓到一定的無線網(wǎng)絡(luò)片斷中去。15、常用的信道復(fù)用技術(shù)。答:頻分多路復(fù)用(FDM),時(shí)分多路復(fù)用(TDM),頻分多址 (FDMA),時(shí)分多址(TDMA),碼分多址(CDMA)。16、單片機(jī)對(duì)系統(tǒng)的濾波。答:?jiǎn)纹瑱C(jī)對(duì)系統(tǒng)只能實(shí)現(xiàn)數(shù)字濾波,即通過一種數(shù)字算法對(duì)系統(tǒng)進(jìn)行濾波。常用的有中值濾波,平滑濾波,程序?yàn)V波等。單片機(jī)硬件工程師面試試題一、現(xiàn)代通訊網(wǎng)絡(luò)中廣泛使用的交換方式有那兩種

20、分組和電路二通常所說的TCP/IP協(xié)議對(duì)應(yīng)于OSI模型的哪層你認(rèn)為網(wǎng)絡(luò)模型分層有什么好處如果讓你來制訂網(wǎng)絡(luò)體系架構(gòu),你認(rèn)為應(yīng)該遵循什么原則第四(傳輸)和第三(網(wǎng)絡(luò));方便調(diào)試和實(shí)現(xiàn);分層實(shí)現(xiàn)三兩個(gè)同步的時(shí)鐘信號(hào),一個(gè)為2M,一個(gè)為8K,用雙蹤示波器觀察兩個(gè)時(shí)鐘信號(hào),這時(shí)應(yīng)該用哪個(gè)信號(hào)作為觸發(fā)信號(hào),為什么8k,所謂觸發(fā)是同步的手段,如果用2M就不能觀察8K了。四邏輯設(shè)計(jì)中應(yīng)盡量使用同步設(shè)計(jì),什么叫做同步設(shè)計(jì)異步設(shè)計(jì)能帶來哪些問題在哪些場(chǎng)合可以使用異步設(shè)計(jì)采用同步時(shí)鐘的為同步設(shè)計(jì)。異步可能帶來毛刺。(同步的概念,同步為等待事情請(qǐng)求,處理器觸發(fā),這當(dāng)中,你不能做某事,直到處理器回復(fù)完你的請(qǐng)求。異步:

21、就是這當(dāng)中,你還可以做其他的事情。)對(duì)于一些不重要的邏輯控制可以采用異步設(shè)計(jì)。五什么情況下需要考慮高速信號(hào)設(shè)計(jì),常用的信號(hào)匹配方式有哪些,各優(yōu)缺點(diǎn)傳輸線延遲與傳輸線上傳輸?shù)男盘?hào)的頻率之間的關(guān)系是否要需要進(jìn)行高速信號(hào)設(shè)計(jì),信號(hào)匹配的方法有很多,有源端匹配,末端匹配,源末端匹配,源端匹配應(yīng)用廣泛,末端匹配在特殊場(chǎng)合下應(yīng)用。線長(zhǎng)和波長(zhǎng)可比。串聯(lián)和并聯(lián),串聯(lián)簡(jiǎn)單但效果一般,并聯(lián)好但復(fù)雜。六提高硬件系統(tǒng)可靠性,應(yīng)該從哪些方面進(jìn)行考慮保證系統(tǒng)的可靠性首先要保證各個(gè)組成模塊的可靠性,采用穩(wěn)定的電源,功能模塊盡量采用成熟電路等;根據(jù)功能設(shè)計(jì)各種測(cè)試項(xiàng)進(jìn)行測(cè)試;最后對(duì)系統(tǒng)進(jìn)行可靠性實(shí)驗(yàn)。硬件:電源,應(yīng)用成熟電路

22、,多問廠家,多測(cè)試。軟件:看門狗,多測(cè)試。七、What is PC Chipset (揚(yáng)智電子筆試) HYPERLINK t blank HYPERLINK t blank 芯片組(Chipset)是主板的核心組成部分,按照在主板上的排列位置的不同,通常分為北橋 HYPERLINK t blank HYPERLINK t blank 芯片和南橋 HYPERLINK t blank HYPERLINK t blank 芯片。北橋 HYPERLINK t blank HYPERLINK t blank 芯片提供對(duì)CPU的類型和主頻、內(nèi)存的類型和最大容量ISA/PCI/AGP插槽、ECC糾錯(cuò)等支持。

23、南橋 HYPERLINK t blank HYPERLINK t blank 芯片則提供對(duì)KBC(鍵盤 HYPERLINK t blank 控制器)、RTC(實(shí)時(shí)時(shí)鐘 HYPERLINK t blank 控制器)、USB(通用串行總線)、Ultra DMA/33(66)EIDE數(shù)據(jù)傳輸方式和ACPI(高級(jí)能源管理)等的支持。其中北橋 HYPERLINK t blank HYPERLINK t blank 芯片起著主導(dǎo)性的作用,也稱為主橋(Host Bridge)。除了最通用的南北橋結(jié)構(gòu)外,目前 HYPERLINK t blank HYPERLINK t blank 芯片組正向更高級(jí)的加速集線架構(gòu)發(fā)展,Intel的8xx系列 HYPERLINK t blank HYPERLINK t blank 芯片組就是這類 HYPERLINK t blank HYPERLINK t blank 芯片組的代表,它將一些子系統(tǒng)如IDE接口、音效、MODEM和USB直接接入主 HYPERLINK t blank HYPERLINK t blank 芯片,能夠提供比PCI

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論