北郵數(shù)電實驗分析報告-信息_第1頁
北郵數(shù)電實驗分析報告-信息_第2頁
北郵數(shù)電實驗分析報告-信息_第3頁
北郵數(shù)電實驗分析報告-信息_第4頁
北郵數(shù)電實驗分析報告-信息_第5頁
已閱讀5頁,還剩13頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、北郵數(shù)電實驗報告-信息數(shù)字電路與邏輯設計實驗1. 實驗內(nèi)容:QuartusII原理圖輸入法設計與實現(xiàn)。2. 實驗目的:(1) 熟悉用 QuartusII原理圖輸入法進行電路設計和仿真。(2) 掌握 QuartusII圖形模塊單元的生成與調(diào)用。(3) 熟悉實驗板的使用。3. 實驗任務要求:(1) 用邏輯門設計實現(xiàn)一個半加器,仿真驗證其功能,并生成新的半加器圖像模塊。(2) 用實驗內(nèi)容 1 中生成的半加器模塊和邏輯門設計實現(xiàn)一個全加器,仿真驗證其功能,并下載到實驗板上測試。要求用撥碼開關設定輸入信號,發(fā)光二極管顯示輸出信號。F=(/ )(/ )(/ )+(/ ) (/ )+ (/ )(/ )+ ,

2、仿真驗證其功能,并下載到實驗板測試。要求用撥碼開關設定輸入信號,發(fā)光二極管顯示輸出信號。cout = (ainbin)cin + ain*bin。00101010010111010001101101101011111仿真波形對比真值表,可以看出波形圖與理論值完全符合。USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY basizhuanyu3 ISBEGINPROCESS(A)WHEN0000= B B B B B B B B B B B B B B B B B B B B B B=ZZZZZZZ;END CAS

3、E;END PROCESS;END shuma;1. 實驗內(nèi)容:用 VHDL 設計與實現(xiàn)時序邏輯電路。2. 實驗目的:(1) 熟悉用 VHDL語言設計時序邏輯電路的方法。(2) 熟悉用 QuartusII文本輸入法進行電路設計。3. 實驗任務要求:(1) 用 VHDL語言設計實現(xiàn)一個分頻系數(shù)為 1250%的分頻器。要求在 QuartusII平臺上設計程序并仿真驗證設計。(2) 用 VHDL語言設計實現(xiàn)一個帶異步復位的 8421 真驗證其功能,并下載到實驗板測試。要求用按鍵設定輸入信號,發(fā)光二極管顯示輸出信號。1. 整個電路輸入端:時鐘信號 ,復位鍵 CLR。(1) 8421 十進制計數(shù)器:LI

4、BRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ZY8421shijinzhi ISARCHITECTURE behave OF ZY8421shijinzhi ISSIGNAL Q_temp:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK2,CLR2)BEGINIF CLR2 = 1 THEN Q_temp =0000;ELSIF CLK2EVENT AND CLK2 = 1 THENIF Q_temp = 1001 THEN Q_temp

5、=0000;ELSE Q_temp =Q_temp+1;END IF;END PROCESS;Q b b b b b b b b b b b b=0000000; 錯。1. 實驗內(nèi)容:用 VHDL 設計與實現(xiàn)相關電路。2. 實驗目的:(1) 掌握 VHDL語言的語法規(guī)范,掌握時序電路描述方法。(2) 掌握多個數(shù)碼管動態(tài)掃描顯示的原理及設計方法。3. 實驗任務要求:(1) 用 VHDL 語言設計并實現(xiàn)六個數(shù)碼管串行掃描電路,要求同時顯示“班號班內(nèi)序號”這 6 個數(shù)字圖形到 6 個數(shù)碼管,仿真驗證其功能,并下載到實驗板測試。(2) 用 VHDL 語言設計并實現(xiàn)六個數(shù)碼管滾動顯示電路。循環(huán)左滾動,始

6、終點亮 6 124-1724-1714-1712-17124,17124-,7124-1,124-17。二、 模塊端口說明及連接圖1. 輸入端:clk 時鐘信號2. 輸出端:partout0,1,2,3,4,5,6,分別對應7 段數(shù)碼管;catout0,1,2,3,4,5:分別對應 6 個數(shù)碼管譯碼器三、VHDL 代碼(1) 同時顯示“班號班內(nèi)序號”這六個數(shù)字圖形到 6 個數(shù)碼管LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;PORT ( clk : IN STD_LOGIC;partout :

7、OUT STD_LOGIC_VECTOR(6 DOWNTO 0);catout : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) );END ZY12417;P1:PROCESS(clk)BEGINP2:PROCESS(tempclk)BEGINIF(tempclkEVENT AND tempclk=1)THENCASE cat ISEND PROCESS P2;catout=cat;partout=part;END A;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY gundongxia

8、nshi ISARCHITECTURE a OF gundongxianshi ISSIGNALSIGNALSIGNALSIGNALSIGNALIF clear=0 THEN tmp=0;ELSIF clkEVENT AND clk=1 THENIF clkEVENT AND clk=1 THENIF tmp1000 THENclk1=0;ELSE clk1=1;END IF;END IF;IF(clkEVENT AND clk=1) THENIF(clk1EVENT AND clk1=1) THENIF(cnt1=11) THEN cnt1=0;ELSE cnt1=cnt1+1;END IF;IF(clear=0)THEN catcatcatcatcatcatcatcatpartpartpartpartpartp

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論