汽車尾燈控制器的設(shè)計(jì)_第1頁(yè)
汽車尾燈控制器的設(shè)計(jì)_第2頁(yè)
汽車尾燈控制器的設(shè)計(jì)_第3頁(yè)
汽車尾燈控制器的設(shè)計(jì)_第4頁(yè)
汽車尾燈控制器的設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩3頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

EDA技術(shù)課程大作業(yè)設(shè)計(jì)題目:汽車尾燈控制器的設(shè)計(jì)學(xué)生:學(xué)號(hào):專業(yè)班級(jí):2012年6月2日汽車尾燈控制器的設(shè)計(jì)設(shè)計(jì)背景和設(shè)計(jì)方案1.1設(shè)計(jì)背景隨著社會(huì)的發(fā)展,科學(xué)技術(shù)也在不斷的進(jìn)步,狀態(tài)機(jī)的應(yīng)用越來(lái)越廣泛?,F(xiàn)代交通越來(lái)越擁擠,安全問(wèn)題日益突出,在這種情況下汽車尾燈控制器的設(shè)計(jì)成為解決交通安全問(wèn)題一種好的途徑。伴隨著集成電路和計(jì)算機(jī)技術(shù)的飛速發(fā)展,EDA技術(shù)應(yīng)運(yùn)而生,它是一種高級(jí)、快速、有效的電子設(shè)計(jì)自動(dòng)化技術(shù)。EDA將大量的電路功能集成到一個(gè)芯片中,并且可以由用戶自行設(shè)計(jì)邏輯功能,提高了系統(tǒng)的集成度和可靠性。運(yùn)用EDA技術(shù)可以方便、快捷設(shè)計(jì)電路系統(tǒng)。本次設(shè)計(jì)就是運(yùn)用EDA技術(shù),根據(jù)狀態(tài)機(jī)原理實(shí)現(xiàn)了汽車尾燈常用控制。1.2設(shè)計(jì)方案1.2.1系統(tǒng)設(shè)計(jì)要求根據(jù)現(xiàn)代交通規(guī)則,汽車尾燈控制器應(yīng)滿足以下基本要求:(1).汽車正常使用是指示燈不亮(2).汽車右轉(zhuǎn)時(shí),右側(cè)的一盞燈亮(3).汽車左轉(zhuǎn)時(shí),左側(cè)的一盞燈亮(4).汽車剎車時(shí),左右兩側(cè)的指示燈同時(shí)亮(5).汽車夜間行駛時(shí),左右兩側(cè)的指示燈同時(shí)一直亮,供照明使用1.2.2系統(tǒng)組成與原理圖汽車尾燈控制器就是一個(gè)狀態(tài)機(jī)的實(shí)例。當(dāng)汽車正常行駛時(shí)所有指示燈都不亮;當(dāng)汽車向右轉(zhuǎn)彎時(shí),汽車右側(cè)的指示燈RD1亮;當(dāng)汽車向左側(cè)轉(zhuǎn)彎時(shí),汽車左側(cè)的指示燈LD1亮;當(dāng)汽車剎車時(shí),汽車右側(cè)的指示燈RD2和汽車左側(cè)的指示燈LD2同時(shí)亮;當(dāng)汽車在夜間行駛時(shí),汽車右側(cè)的指示燈RD3和汽車左側(cè)的指示燈LD3同時(shí)一直亮。通過(guò)設(shè)置系統(tǒng)的輸入信號(hào):系統(tǒng)時(shí)鐘信號(hào)CLK,汽車左轉(zhuǎn)彎控制信號(hào)LEFT,汽車右轉(zhuǎn)彎控制信號(hào)RIGHT,剎車信號(hào)BRAKE,夜間行駛信號(hào)NIGHT

和系統(tǒng)的輸出信號(hào):汽車左側(cè)3盞指示燈LD1、LD2、LD3和汽車右側(cè)3盞指RD1、RD2、RD3實(shí)現(xiàn)以上功能。系統(tǒng)的整體組裝設(shè)計(jì)原理如圖1所示。CLKINPUT

VCCLEFTINPUT

VCCRIGHTNIGHT\,,1一,_J/INPUTBRAKEVCCINPUTVCC1^^」INPUTVCCCTRLinst2圖1系統(tǒng)的整體組裝設(shè)計(jì)原理LEFTLPRIGHTRPBRAKELRNIGHTBRAKELEDNIGHTLEDCLKLEDLCLKINPUT

VCCLEFTINPUT

VCCRIGHTNIGHT\,,1一,_J/INPUTBRAKEVCCINPUTVCC1^^」INPUTVCCCTRLinst2圖1系統(tǒng)的整體組裝設(shè)計(jì)原理LEFTLPRIGHTRPBRAKELRNIGHTBRAKELEDNIGHTLEDCLKLEDLLPLEDBLRLEDNBRAKENIGHTCLKLEDRRPLEDBLRLEDNBRAKENIGHTOUTPUTOUTPUTOUTPUTLD1LD3OUTPUTOUTPUTOUTPU〉RD1RD2RD3汽車尾燈控制器有4個(gè)模塊組成,分別為:時(shí)鐘分頻模塊、汽車尾燈主控模塊,左邊燈控制模塊和右邊燈控制模塊,以下介紹各模塊的詳細(xì)設(shè)計(jì)。2.1時(shí)鐘分頻模塊整個(gè)時(shí)鐘分頻模塊的工作框圖如圖2所示。圖2時(shí)鐘分頻模塊工作框圖時(shí)鐘分頻模塊由VHDL程序來(lái)實(shí)現(xiàn),下面是其中的一段VHDL代碼:ARCHITECTUREARTOFSZISSIGNALCOUNT:STD_LOGIC_VECTOR(7DOWNTO0);BEGINPROCESS(CLK)BEGINIFCLK'EVENTANDCLK='1'THENCOUNT<=COUNT+1;ENDIF;ENDPROCESS;CP<=COUNT(3);ENDART;2.2汽車尾燈主控模塊汽車尾燈主控模塊工作框圖如圖3所示。圖3主控模塊工作框圖汽車尾燈主控模塊由VHDL程序來(lái)實(shí)現(xiàn),下面是其中的一段VHDL代碼:ARCHITECTUREARTOFCTRLISBEGINNIGHT_LED<=NIGHT;BRAKE_LED<=BAKE;PROCESS(LEFT,RIGHT)VARIABLETEMP:STD_LOGIC_VECTOR(1DOWNTO0);BEGINTEMP:=LEFT&RIGHT;CASETEMPISWHEN"00"=>LP<='0';RP<='0';LR<='0';WHEN"01"=>LP<='0';RP<=T;LR<='0';WHEN"10"=>LP<=T;RP<='0';LR<='0';WHENOTHERS=>LP<='0';RP<='0';LR<=T;ENDCASE;ENDPROCESS;ENDART;2.3左邊燈控制模塊左邊燈控制模塊的工作框圖如圖4所示。圖4左邊燈控制模塊的工作框圖左邊燈控制模塊由VHDL程序來(lái)實(shí)現(xiàn),下面是其中的一段VHDL代碼:ARCHITECTUREARTOFLCISBEGINLEDB<=BRAKE;LEDN<=NIGHT;PROCESS(CLK,LP,LR)BEGINIFCLK'EVENTANDCLK='1'THENIF(LR='0')THENIF(LP='0')THENLEDL<='0';ELSELEDL<='1';ENDIF;ELSELEDL<='0';ENDIF;ENDIF;ENDPROCESS;ENDART;2.4右邊燈控制模塊右邊燈控制模塊的工作框圖如圖5所示。圖5右邊燈控制模塊的工作框圖右邊燈控制模塊由VHDL程序來(lái)實(shí)現(xiàn),下面是其中的一段VHDL代碼:ARCHITECTUREARTOFRCISBEGINLEDB<=BRAKE;LEDN<=NIGHT;PROCESS(CLK,RP,LR)BEGINIFCLK'EVENTANDCLK='1'THENIF(LR='0')THENIF(RP='0')THENLEDR<='0';ELSELEDR<='1';ENDIF;ELSELEDR<='0';ENDIF;ENDIF;ENDPROCESS;ENDART;結(jié)果和結(jié)論3.1分頻模塊仿真與分析

分頻模塊由VHDL程序?qū)崿F(xiàn)后,其仿真圖如圖6所示。山mC_-c=FlClnm200CnsElZC.Jrs^00On3ECO.ZrsGJZ.Oie7001nsElZC.Jrs90JOibn山mC_-c=對(duì)其仿真圖進(jìn)行仿真分析:如圖所示,首先生成一個(gè)600ns的時(shí)鐘脈沖,通過(guò)時(shí)鐘分頻把600ns的脈沖分成一個(gè)40ns的脈沖,實(shí)現(xiàn)了信號(hào)同步。3.2汽車尾燈主控模塊仿真與分析汽車尾燈主控模塊由VHDL程序?qū)崿F(xiàn)后,其仿真圖如圖7所示。汽車尾燈主控模塊由VHDL程序?qū)崿F(xiàn)后,其仿真圖如圖7所示。對(duì)時(shí)序仿真圖進(jìn)行分析:RIGHT,LEFT,NIGHT,BRAKE為輸入信號(hào),RIGHT為1表示右轉(zhuǎn),LEFT為1表示左轉(zhuǎn),NIGHT為1表示夜間行路,BRAKE為1表示剎車。RP,LP,NIGHT_LED,BRAKE_LED為輸出信號(hào)。如圖所示:當(dāng)RIGHT為1時(shí),產(chǎn)生一個(gè)RP為1的信號(hào)脈沖輸出,當(dāng)LEFT為1時(shí),產(chǎn)生一個(gè)LP為1的信號(hào)脈沖輸出,當(dāng)NIGHT為1時(shí),產(chǎn)生一個(gè)NIGHT_LED為1的信號(hào)脈沖輸出。當(dāng)BRAKE為1時(shí),產(chǎn)生一個(gè)BRAKE_LED為1的信號(hào)脈沖輸出。3.3左邊燈控制模塊仿真與分析左邊燈控制模塊由VHDL程序?qū)崿F(xiàn)后,其仿真圖如圖8所示。

FniiielLU「-$LI」,Ln:;」」一Un:E-U.,」-:;:LU「-:;EU」,Ln:;,'一L.ll-:土U.,」-:;一?LU,--:;L.■^-CLKJL11ILRKJmmwiihttiiirniirririiiirririiihtiiimil_p:■KIII□l^-LRD9LEDLDJ.l「「「■-_zIIl:K□圖8左邊燈控制模塊時(shí)序仿真圖對(duì)時(shí)序仿真圖進(jìn)行分析:LP,LR,NIGHT,BRAKE為輸入信號(hào),LP為1表示左轉(zhuǎn),LR為1表示右轉(zhuǎn),NIGHT為1表示夜間行路,BRAKE為1表示剎車。LEDL,LEDB,LEDN為輸出信號(hào),表示汽車左側(cè)的三盞燈。如圖所示:當(dāng)LP為1時(shí),LEDL輸出為1表示左側(cè)燈亮,當(dāng)BRAKE為1時(shí),LEDB輸出為1表示左側(cè)燈亮,當(dāng)NIGHT為1時(shí),LEDN輸出為1表示左側(cè)燈亮。當(dāng)LR為1時(shí),左側(cè)三盞燈輸出均為0。即沒(méi)有燈亮。3.4右邊燈控制模塊仿真與分析右邊燈控制模塊由VHDL程序?qū)崿F(xiàn)后,其仿真圖如圖9所示。圖9右邊燈控制模塊時(shí)序仿真圖對(duì)時(shí)序仿真圖進(jìn)行分析:RP,LR,NIGHT,BRAKE為輸入信號(hào),LR為1表示左轉(zhuǎn),RP為1表示右轉(zhuǎn),NIGHT為1表示夜間行路,BRAKE為1表示剎車。LEDR,LEDB,LEDN為輸出信號(hào),表示汽車右側(cè)的三盞燈。如圖所示:當(dāng)RP為1時(shí),LEDR輸出為1表示右側(cè)燈亮,當(dāng)BRAKE為1時(shí),LEDB輸出為1表示右側(cè)燈亮,當(dāng)NIGHT為1時(shí),LEDN輸出為1表示右側(cè)燈亮。當(dāng)LR為1時(shí),右側(cè)三盞燈輸出均為0。即沒(méi)有燈亮。3.5整個(gè)系統(tǒng)仿真與分析按圖1組裝系統(tǒng)后的仿真圖如圖10所示。N-EWfl-RIGHTIt-LEFTN-EWfl-RIGHTIt-LEFT■f-BRAKE-VRD1-O^LDI-9RD2-9LD2-9RD3-9LDB圖10整個(gè)系統(tǒng)仿真圖對(duì)時(shí)序仿真圖進(jìn)行分析:RIGHT,LEFT,NIGHT,BRAKE為輸入信號(hào),RIGHT為1表示右轉(zhuǎn),LEFT為1表示左轉(zhuǎn),NIGHT為1表示夜間行路,BRAKE為1表示剎車。RD1,RD2,RD3為輸出信號(hào),表示汽車右側(cè)的三盞燈。LD1,LD2,LD3為輸出信號(hào),表示汽車左側(cè)的三盞燈。如圖所示:當(dāng)RIGHT為1時(shí),RD1輸出為1表示右側(cè)燈亮,當(dāng)LEFT為1時(shí),LD1為輸出為1表示左側(cè)燈亮,當(dāng)NIGHT為1時(shí),LD2,RD2輸出均為1,表示左,右兩側(cè)各有一盞燈亮。當(dāng)BRAKE為1時(shí),LD3,RD3輸出均為1,表示左,右兩側(cè)各有一盞燈亮。附件4.1各個(gè)模塊程序4.1.1主控模塊LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYCTRLISPORT(LEFT,RIGHT,BRAKE,NIGHT:INSTD_LOGIC;LP,RP,LR,BRAKE_LED,NIGHT_LED:OUTSTD_LOGIC);END;ARCHITECTUREARTOFCTRLISBEGINNIGHT_LED<=NIGHT;BRAKE_LED<=BAKE;PROCESS(LEFT,RIGHT)VARIABLETEMP:STD_LOGIC_VECTOR(1DOWNTO0);BEGINTEMP:=LEFT&RIGHT;CASETEMPISWHEN"00"=>LP<='0';RP<='0';LR<='0';WHEN"01"=>LP<='0';RP<=T;LR<='0';WHEN"10"=>LP<=T;RP<='0';LR<='0';WHENOTHERS=>LP<='0';RP<='0';LR<=T;ENDCASE;ENDPROCESS;ENDART;4.1.2時(shí)鐘分頻模塊LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYSZISPORT(CLK:INSTD_LOGIC;CP:OUTSTD_LOGIC);END;ARCHITECTUREARTOFSZISSIGNALCOUNT:STD_LOGIC_VECTOR(7DOWNTO0);BEGINPROCESS(CLK)BEGINIFCLK'EVENTANDCLK='1'THENCOUNT<=COUNT+1;ENDIF;ENDPROCESS;CP<=COUNT(3);ENDART;4.1.3右邊燈控制模塊LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYRCISPORT(CLK,RP,LR,BRAKE,NIGHT:INSTD_LOGIC;LEDR,LEDB,LEDN:OUTSTD_LOGIC);END;ARCHITECTUREARTOFRCISBEGINLEDB<=BRAKE;LEDN<=NIGHT;PROCESS(CLK,RP,LR)BEGINIFCLK'EVENTANDCLK='1'THENIF(LR='0')THENIF(RP='0')THENLEDR<='0';ELSELEDR<='1';ENDIF;ELSELEDR<='0';ENDIF;ENDIF;ENDPROCESS;ENDART;4.1.4左邊燈控制模塊LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYLCISPO

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論