溫度傳感器畢業(yè)設(shè)計(jì)(論文)_第1頁(yè)
溫度傳感器畢業(yè)設(shè)計(jì)(論文)_第2頁(yè)
溫度傳感器畢業(yè)設(shè)計(jì)(論文)_第3頁(yè)
溫度傳感器畢業(yè)設(shè)計(jì)(論文)_第4頁(yè)
溫度傳感器畢業(yè)設(shè)計(jì)(論文)_第5頁(yè)
已閱讀5頁(yè),還剩29頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

共頁(yè)第頁(yè)摘要單片機(jī)技術(shù)已經(jīng)普及到我們生活,工作,科研,各個(gè)領(lǐng)域,已經(jīng)成為一種比較成熟的技術(shù),本文將介紹一種基于單片機(jī)控制的數(shù)字溫度器,本溫度計(jì)屬于多功能溫度計(jì),可以設(shè)置上下報(bào)警溫度,當(dāng)溫度不在設(shè)置范圍內(nèi)時(shí),可以報(bào)警。隨著現(xiàn)代工農(nóng)業(yè)技術(shù)的發(fā)展及人們對(duì)生活環(huán)境要求的提高,人們也迫切需要檢測(cè)與控制溫度。本文通過采用蜂鳴器作為電聲元件的溫度報(bào)警器的設(shè)計(jì),闡明了該裝置進(jìn)行設(shè)計(jì)與制作的具體過程及方法。這種溫度報(bào)警器結(jié)構(gòu)簡(jiǎn)單,可操作性強(qiáng),應(yīng)用廣泛。工作時(shí),溫度測(cè)量范圍為5—38oC。當(dāng)前環(huán)境溫度若超過設(shè)定的高溫臨界溫度,由單片機(jī)發(fā)出報(bào)警信號(hào),從而防止帶來的不必要的損失。造成高溫火災(zāi)有:電氣線路短路、過載、接觸電阻過大等引發(fā)高溫或火災(zāi);靜電產(chǎn)生高溫或或火災(zāi);雷電等強(qiáng)電侵入導(dǎo)致高溫或火災(zāi);最主要是機(jī)房?jī)?nèi)電腦、空調(diào)等用電設(shè)備長(zhǎng)時(shí)間工作,導(dǎo)致設(shè)備老化,空調(diào)發(fā)生故障,而不能降溫;因此機(jī)房?jī)?nèi)所屬的電子產(chǎn)品發(fā)熱快,在短時(shí)間內(nèi)機(jī)房溫度升高超出設(shè)備正常溫度,導(dǎo)致系統(tǒng)癱瘓或產(chǎn)生火災(zāi),這時(shí)溫度報(bào)警系統(tǒng)就會(huì)發(fā)揮應(yīng)有的功能。關(guān)鍵詞:STC89C51單片機(jī),數(shù)字控制,溫度計(jì),DS18B20,AT24C02ABSTRACTSinglechiptechnologyhasspreadtowelive,work,scientificresearch,eachdomain,hasbecomeamaturetechnology,thispaperintroducesakindofbasedonsinglechipmicrocomputercontroldigitaltemperaturedevice,thethermometerbelongstothemulti-functionthermometer,cansetupanddownthetemperaturealarm,whenthetemperatureisnotsetrange,youcancallthepolice.Withmodernindustrialandagriculturaltechnologyandthedevelopmentofpeopletotheimprovementofthelivingenvironmentrequirements,andpeopleurgentlyneedtotestandcontrolthetemperature.Throughtheuseofcomponentsofthebuzzerasacoustictemperaturealarmdesign,itisexpoundedthatthedeviceforthedesignandproductionofthespecificprocessesandmethods.Thetemperaturealarmsimplestructure,themaneuverabilityisstrong,wideapplication.Work,temperaturemeasurementrangefor5-38oC.Thecurrentenvironmenttemperatureifmorethanthehightemperatureofsettingthecriticaltemperature,issuedawarningsignalbysingle-chipmicrocomputer,thuspreventingbringunnecessarylosses.Hightemperaturecausedbyfireare:electricshortcircuit,overload,contactresistancebytoohightemperatureorfire;Electrostaticgenerationhightemperatureororfire;Lightningandleadtohightemperaturesorhighvoltageintothefire;Themainroomisinairconditioningequipmentsuchascomputer,electricityworklonghours,causeofoldequipment,airconditioningfault,andcan'tcooling;Sothetelecomroombelongstoelectronicproductsfeverfast,inashorttime,computerroomtemperaturebeyondnormaltemperatureequipment,causethesystemtoproduceparalysisorfire,whentemperaturealarmsystemwillplayitsfunction.Keywords:STC89C51microcontroller,digitalcontrol,thermometer,DS18B20,AT24C02

目錄摘要 1ABSTRACT 2目錄 3第一章 設(shè)計(jì)要求與方案論證 41.1設(shè)計(jì)要求 41.2系統(tǒng)基本方案選擇和論證 41.2.1單片機(jī)芯片的選擇方案和論證 41.2.2溫度傳感器設(shè)計(jì)方案論證 51.2.3掉電保持方案論證 51.3電路設(shè)計(jì)最終方案決定 6第二章 主要元件介紹 62.1STC89C51介紹 62.1.1STC89C51主要功能及PDIP封裝 62.1.2STC89C51引腳介紹 62.1.3單片機(jī)最小系統(tǒng): 82.2DS18B20傳感器介紹 82.2.1DS18B20概述 82.2.2DS18B20引腳介紹 92.2.3DS18B20的內(nèi)部結(jié)構(gòu) 102.2.4DS18B20的程序流程圖 112.3數(shù)碼管介紹 112.3.1數(shù)碼管概述 122.4AT24C02簡(jiǎn)介 122.4.1I2C總線說明 13第三章 程序流程圖 16結(jié)論 17參考文獻(xiàn) 18致謝 19附錄A電路原理圖 20附錄BC語(yǔ)言程序 21

設(shè)計(jì)要求與方案論證1.1設(shè)計(jì)要求基本范圍0℃-99℃;精度誤差小于0.1℃;數(shù)碼管直讀顯示;擴(kuò)展功能:可以任意設(shè)定溫度的上下限報(bào)警功能,并可以掉電保持上下限溫度值。1.2系統(tǒng)基本方案選擇和論證1.2.1單片機(jī)芯片的選擇方案和論證由于單片機(jī)具有以下的很多優(yōu)點(diǎn),被我們選定為制作該作品的首選芯片單片機(jī)特點(diǎn):(1)高集成度,體積小,高可靠性單片機(jī)將各功能部件集成在一塊晶體芯片上,集成度很高,體積自然也是最小的。芯片本身是按工業(yè)測(cè)控環(huán)境要求設(shè)計(jì)的,內(nèi)部布線很短,其抗工業(yè)噪音性能優(yōu)于一般通用的CPU。單片機(jī)程序指令,常數(shù)及表格等固化在ROM中不易破壞,許多信號(hào)通道均在一個(gè)芯片內(nèi),故可靠性高。(2)控制功能強(qiáng)為了滿足對(duì)對(duì)象的控制要求,單片機(jī)的指令系統(tǒng)均有極豐富的條件:分支轉(zhuǎn)移能力,I/O口的邏輯操作及位處理能力,非常適用于專門的控制功能。(3)低電壓,低功耗,便于生產(chǎn)便攜式產(chǎn)品為了滿足廣泛使用于便攜式系統(tǒng),許多單片機(jī)內(nèi)的最低工作電壓僅為1.8V~3.6V,而工作電流僅為數(shù)百微安。(4)易擴(kuò)展片內(nèi)具有計(jì)算機(jī)正常運(yùn)行所必需的部件。芯片外部有許多供擴(kuò)展用的三總線及并行、串行輸入/輸出管腳,很容易構(gòu)成各種規(guī)模的計(jì)算機(jī)應(yīng)用系統(tǒng)。(5)優(yōu)異的性價(jià)比單片機(jī)的性能極高。為了提高速度和運(yùn)行效率,單片機(jī)已開始使用RISC流水線和DSP等技術(shù)。單片機(jī)的尋址能力也已突破64KB的限制,有的已可達(dá)到1MB和16MB,片內(nèi)的ROM容量可達(dá)62MB,RAM容量則可達(dá)2MB。由于單片機(jī)的廣泛使用,因而銷量極大,各大公司的商業(yè)競(jìng)爭(zhēng)更使其價(jià)格十分低廉,其性能價(jià)格比極高。方案一:采用STC89C51芯片作為硬件核心。STC89C51內(nèi)部具有8KBROM存儲(chǔ)空間,512字節(jié)數(shù)據(jù)存儲(chǔ)空間,帶有2K字節(jié)的EEPROM存儲(chǔ)空間,與MCS-51系列單片機(jī)完全兼容,STC89C51可以通過串口下載。方案二:采用AT89S51。AT89S51片內(nèi)具有8K字節(jié)程序存儲(chǔ)空間,256字節(jié)的數(shù)據(jù)存儲(chǔ)空間沒有EEPROM存儲(chǔ)空間,也與MCS-51系列單片機(jī)完全兼容,具有在線編程可擦除技術(shù)。兩種單片機(jī)都完全能夠滿足設(shè)計(jì)需要,STC89C51相對(duì)ATS89C52價(jià)格便宜,且抗干擾能力強(qiáng)??紤]到成本因素,因此選用STC89C51。1.2.2溫度傳感器設(shè)計(jì)方案論證利用物質(zhì)各種物理性質(zhì)隨溫度變化的規(guī)律把溫度轉(zhuǎn)換為電量的傳感器。這些呈現(xiàn)規(guī)律性變化的物理性質(zhì)主要有體。溫度傳感器是溫度測(cè)量?jī)x表的核心部分,品種繁多。按測(cè)量方式可分為接觸式和非接觸式兩大類,按照傳感器材料及電子元件特性分為熱電阻和熱電偶兩類?,F(xiàn)代信息技術(shù)的三大基礎(chǔ)是信息采集(即傳感器技術(shù))、信息傳輸(通信技術(shù))和信息處理(計(jì)算機(jī)技術(shù))。溫度傳感器的發(fā)展大致經(jīng)歷了以下三個(gè)階段;(1)傳統(tǒng)的分立式溫度傳感器(含敏感元件);(2)模擬集成溫度傳感器/控制器;(3)智能溫度傳感器。國(guó)際上新型溫度傳感器正從模擬式向數(shù)字式、由集成化向智能化、網(wǎng)絡(luò)化的方向發(fā)展。在20世紀(jì)90年代中期最早推出的智能溫度傳感器,采用的是8位A/D轉(zhuǎn)換器,其測(cè)溫精度較低,分辨力只能達(dá)到1°C。國(guó)外已相繼推出多種高精度、高分辨力的智能溫度傳感器,所用的是9~12位A/D轉(zhuǎn)換器,分辨力一般可達(dá)0.5~0.0625°C。由美國(guó)DALLAS半導(dǎo)體公司新研制的DS1624型高分辨力智能溫度傳感器,能輸出13位二進(jìn)制數(shù)據(jù),其分辨力高達(dá)0.03125°C,測(cè)溫精度為±0.2°C。為了提高多通道智能溫度傳感器的轉(zhuǎn)換速率,也有的芯片采用高速逐次逼近式A/D轉(zhuǎn)換器。目前,智能溫度傳感器的總線技術(shù)也實(shí)現(xiàn)了標(biāo)準(zhǔn)化、規(guī)范化,所采用的總線主要有單線(1-Wire)總線、I2C總線、SMBus總線和spI總線。溫度傳感器作為從機(jī)可通過專用總線接口與主機(jī)進(jìn)行通信。方案一:由于本設(shè)計(jì)是測(cè)溫電路,可以使用熱敏電阻之類的器件利用其感溫效應(yīng),在將隨被測(cè)溫度變化的電壓或電流采集過來,進(jìn)行A/D轉(zhuǎn)換后,就可以用單片機(jī)進(jìn)行數(shù)據(jù)的處理,在顯示電路上,就可以將被測(cè)溫度顯示出來,這種設(shè)計(jì)需要用到A/D轉(zhuǎn)換電路,感溫電路比較麻煩。方案二:進(jìn)而考慮到用溫度傳感器,在單片機(jī)電路設(shè)計(jì)中,大多都是使用傳感器,所以這是非常容易想到的,所以可以采用一只溫度傳感器DS18B20,此傳感器,可以很容易直接讀取被測(cè)溫度值,進(jìn)行轉(zhuǎn)換,就可以滿足設(shè)計(jì)要求。從以上兩種方案,兩種都完全能夠滿足設(shè)計(jì)需要,很容易看出,采用方案二,電路比較簡(jiǎn)單,軟件設(shè)計(jì)也比較簡(jiǎn)單,故采用了方案二。1.2.3掉電保持方案論證為了方便使用,本設(shè)計(jì)采用串行E2PROMI2C-BUS的存儲(chǔ)器件AT24C02,由于其具有接口方便,體積小,數(shù)據(jù)掉電不丟失等特點(diǎn),在儀器儀表及工業(yè)自動(dòng)化控制中得到大量的應(yīng)用。本設(shè)計(jì)就是采用此功能。1.3電路設(shè)計(jì)最終方案決定綜上各方案所述,對(duì)此次作品的方案選定:采用STC89C51單片機(jī)作為主控制系統(tǒng);采用DS18B20為傳感器;采用AT24C02作為數(shù)據(jù)記錄系統(tǒng);采用數(shù)碼管作為顯示器件。51單片機(jī)51單片機(jī)最小系統(tǒng)數(shù)碼管顯示模塊獨(dú)立按鍵模塊繼電器輸出控制模塊IIC存儲(chǔ)模塊DS18B20測(cè)溫模塊蜂鳴器報(bào)警模塊供電開關(guān)模塊主要元件介紹2.1STC89C51介紹STC89C51是由深圳宏晶科技公司生產(chǎn)的與工業(yè)標(biāo)準(zhǔn)MCS-51指令集和輸出管腳相兼容的單片機(jī)。2.1.1STC89C51主要功能及PDIP封裝STC89C51主要功能如表1所示,其PDIP封裝如圖1所示主要功能特性兼容MCS51指令系統(tǒng)8K可反復(fù)擦寫FlashROM32個(gè)雙向I/O口256x8bit內(nèi)部RAM3個(gè)16位可編程定時(shí)/計(jì)數(shù)器中斷時(shí)鐘頻率0-24MHz2個(gè)串行中斷可編程UART串行通道2個(gè)外部中斷源共6個(gè)中斷源2個(gè)讀寫中斷口線3級(jí)加密位低功耗空閑和掉電模式軟件設(shè)置睡眠和喚醒功能表1:STC89C51主要功能2.1.2STC89C51引腳介紹①主電源引腳(2根)VCC(Pin40):電源輸入,接+5V電源GND(Pin20):接地線②外接晶振引腳(2根)XTAL1(Pin19):片內(nèi)振蕩電路的輸入端XTAL2(Pin20):片內(nèi)振蕩電路的輸出端③控制引腳(4根)RST/VPP(Pin9):復(fù)位引腳,引腳上出現(xiàn)2個(gè)機(jī)器周期的高電平將使單片機(jī)復(fù)位。ALE/PROG(Pin30):地址鎖存允許信號(hào)PSEN(Pin29):外部存儲(chǔ)器讀選通信號(hào)EA/VPP(Pin31):程序存儲(chǔ)器的內(nèi)外部選通,接低電平從外部程序存儲(chǔ)器讀指令,如果接高電平則從內(nèi)部程序存儲(chǔ)器讀指令。④可編程輸入/輸出引腳(32根)STC89C51單片機(jī)有4組8位的可編程I/O口,分別位P0、P1、P2、P3口,每個(gè)口有8位(8根引腳),共32根。P0口(Pin39~Pin32):8位雙向I/O口線,名稱為P0.0~P0.7P1口(Pin1~Pin8):8位準(zhǔn)雙向I/O口線,名稱為P1.0~P1.7P2口(Pin21~Pin28):8位準(zhǔn)雙向I/O口線,名稱為P2.0~P2.7P3口(Pin10~Pin17):8位準(zhǔn)雙向I/O口線,名稱為P3.0~P3.7圖1:STC89C51封裝圖2.1.3單片機(jī)最小系統(tǒng):當(dāng)在STC89C51單片機(jī)的RST引腳引入高電平并保持2個(gè)機(jī)器周期時(shí),單片機(jī)內(nèi)部就執(zhí)行復(fù)位操作,按鍵手動(dòng)復(fù)位有電平方式和脈沖方式兩種。其中電平復(fù)位是通過RST端經(jīng)過電阻與電源VCC接通而實(shí)現(xiàn)的。最小系統(tǒng)如圖2所示。圖2單片機(jī)最小系統(tǒng)電路電路以STC89C51單片機(jī)最小系統(tǒng)為控制核心,測(cè)溫電路由DS18B20提供,輸入部分采用三個(gè)獨(dú)立式按鍵S1、S2、S3。數(shù)碼管顯示部分。具體電路連接,詳見附錄1。2.2DS18B20傳感器介紹2.2.1DS18B20概述在現(xiàn)代檢測(cè)技術(shù)中,傳感器占據(jù)著不可動(dòng)搖的重要位置。主機(jī)對(duì)數(shù)據(jù)的處理能力已經(jīng)相當(dāng)?shù)膹?qiáng),但是對(duì)現(xiàn)實(shí)世界中的模擬量卻無能為力。如果沒有各種精確可靠的傳感器對(duì)非電量和模擬信號(hào)進(jìn)行檢測(cè)并提供可靠的數(shù)據(jù),那計(jì)算機(jī)也無法發(fā)揮他應(yīng)有的作用。傳感器把非電量轉(zhuǎn)換為電量,經(jīng)過放大處理后,轉(zhuǎn)換為數(shù)字量輸入計(jì)算機(jī),由計(jì)算機(jī)對(duì)信號(hào)進(jìn)行分析處理。從而傳感器技術(shù)與計(jì)算機(jī)技術(shù)結(jié)合起來,對(duì)自動(dòng)化和信息化起重要作用。采用各種傳感器和微處理技術(shù)可以對(duì)各種工業(yè)參數(shù)及工業(yè)產(chǎn)品進(jìn)行測(cè)控及檢驗(yàn),準(zhǔn)確測(cè)量產(chǎn)品性能,及時(shí)發(fā)現(xiàn)隱患。為提高產(chǎn)品質(zhì)量、改進(jìn)產(chǎn)品性能,防止事故發(fā)生提供必要的信息和更可靠的數(shù)據(jù)。由于系統(tǒng)的工作環(huán)境比較惡劣,且對(duì)測(cè)量要求比較高,所以選擇合適的傳感器很重要。目前,國(guó)際上新型溫度傳感器正從模擬式向數(shù)字式、從集成化向智能化和網(wǎng)絡(luò)化的方向飛速發(fā)展。智能溫度傳感器DS18B20正是朝著高精度、多功能、總線標(biāo)準(zhǔn)化、高可靠性及安全性、開發(fā)虛擬傳感器和網(wǎng)絡(luò)傳感器、研制單片測(cè)溫系統(tǒng)等高科技的方向迅速發(fā)展。因此,智能溫度傳感器DS18B20作為溫度測(cè)量裝置已廣泛應(yīng)用于人民的日常生活和工農(nóng)業(yè)生產(chǎn)中。美國(guó)DALLAS公司生產(chǎn)的DS18B20可組網(wǎng)數(shù)字溫度傳感器芯片外加不銹鋼保護(hù)管封裝而成,具有耐磨耐碰,體積小,使用方便,封裝形式多樣,適用于各種狹小空間設(shè)備數(shù)字測(cè)溫和控制領(lǐng)域。有獨(dú)特的單線接口方式,DS1820在與微處理器連接時(shí)僅需要一條口線即可實(shí)現(xiàn)微處理器與DS1820的雙向通訊;其測(cè)溫范圍-55℃~+125℃,固有測(cè)溫分辨率0.5℃;支持多點(diǎn)組網(wǎng)功能;多個(gè)DS1820可以并聯(lián)在唯一的三線上,實(shí)現(xiàn)多點(diǎn)測(cè)溫;工作電源為3~5V/DC;在使用中不需要任何外圍元件。DS18B20的性能特點(diǎn)如下:(1)采用DALLAS公司獨(dú)特的單線接口方式:DS18B20與微處理器連接時(shí)僅需要一條口線即可實(shí)現(xiàn)微處理器與DS18B20的雙向通訊;(2)在使用中不需要任何外圍元件;(3)可用數(shù)據(jù)線供電,供電電壓范圍:+3.0V~+5.5V;(4)測(cè)溫范圍:-55~+125℃。固有測(cè)溫分辨率為0.5℃。當(dāng)在-10℃~+85℃范圍內(nèi),可確保測(cè)量誤差不超過0.5℃,在-55~+125℃范圍內(nèi),測(cè)量誤差也不超過2℃;(5)通過編程可實(shí)現(xiàn)9~12位的數(shù)字讀數(shù)方式;(6)用戶可自設(shè)定非易失性的報(bào)警上下限值;(7)支持多點(diǎn)的組網(wǎng)功能,多個(gè)DS18B20可以并聯(lián)在唯一的三線上,實(shí)現(xiàn)多點(diǎn)測(cè)溫(8)負(fù)壓特性,即具有電源反接保護(hù)電路。當(dāng)電源電壓的極性反接時(shí),能保護(hù)DS18B20不會(huì)因發(fā)熱而燒毀,但此時(shí)芯片無法正常工作;(9)DS18B20的轉(zhuǎn)換速率比較高,進(jìn)行9位的溫度值轉(zhuǎn)換只需93.75ms;(10)適配各種單片機(jī)或系統(tǒng);(11)內(nèi)含64位激光修正的只讀存儲(chǔ)ROM,扣除8位產(chǎn)品系列號(hào)和8位循環(huán)冗余校驗(yàn)碼(CRC)之后,產(chǎn)品序號(hào)占48位。出廠前產(chǎn)品序號(hào)存入其ROM中。在構(gòu)成大型溫控系統(tǒng)時(shí),允許在單線總線上掛接多片DS18B20。2.2.2DS18B20引腳介紹圖3:DS18B20引腳各引腳功能為:I/O為數(shù)據(jù)輸入/輸出端(即單線總線),它屬于漏極開路輸出,外接上拉電阻后,常態(tài)下呈高電平。UDD是可供選用的外部電源端,不用時(shí)接地,GND為地,NC空腳。2.2.3DS18B20的內(nèi)部結(jié)構(gòu)DS18B20的內(nèi)部結(jié)構(gòu)主要包括7部分:寄生電源、溫度傳感器、64位激光(loser)ROM與單線接口、高速暫存器(即便筏式RAM,用于存放中間數(shù)據(jù))、TH觸發(fā)寄存器和TL觸發(fā)寄存器,分別用來存儲(chǔ)用戶設(shè)定的溫度上下限值、存儲(chǔ)和控制邏輯、位循環(huán)冗余校驗(yàn)碼(CRC)發(fā)生器。圖4:DS18B20內(nèi)部結(jié)構(gòu)2.2.4DS18B20的程序流程圖否否是發(fā)出溫度轉(zhuǎn)換命令寫入18B20讀溫度前復(fù)位顯示測(cè)溫點(diǎn)位置18B20復(fù)位開始18B20存在?延時(shí)發(fā)出讀溫度命令寫入18B20讀入溫度值數(shù)據(jù)返回延時(shí)圖5程序流程圖2.3數(shù)碼管介紹數(shù)碼管是一種半導(dǎo)體發(fā)光器件,其基本單元是發(fā)光二極管。數(shù)碼管按段數(shù)分為七段數(shù)碼管和八段數(shù)碼管,八段數(shù)碼管比七段數(shù)碼管多一個(gè)發(fā)光二極管單元(多一個(gè)小數(shù)點(diǎn)顯示);按能顯示多少個(gè)“8”可分為1位、2位、4位等等數(shù)碼管;按發(fā)光二極管單元連接方式分為共陽(yáng)極數(shù)碼管和共陰極數(shù)碼管。共陽(yáng)數(shù)碼管是指將所有發(fā)光二極管的陽(yáng)極接到一起形成公共陽(yáng)極(COM)的數(shù)碼管,共陽(yáng)數(shù)碼管在應(yīng)用時(shí)應(yīng)將公共極COM接到+5V,當(dāng)某一字段發(fā)光二極管的陰極為低電平時(shí),相應(yīng)字段就點(diǎn)亮,當(dāng)某一字段的陰極為高電平時(shí),相應(yīng)字段就不亮。共陰數(shù)碼管是指將所有發(fā)光二極管的陰極接到一起形成公共陰極(COM)的數(shù)碼管,共陰數(shù)碼管在應(yīng)用時(shí)應(yīng)將公共極COM接到地線GND上,當(dāng)某一字段發(fā)光二極管的陽(yáng)極為高電平時(shí),相應(yīng)字段就點(diǎn)亮,當(dāng)某一字段的陽(yáng)極為低電平時(shí),相應(yīng)字段就不亮。2.3.1數(shù)碼管概述圖5:數(shù)碼管數(shù)碼顯示器是一種由LED發(fā)光二極管組合顯示字符的顯示器件,它使用了8個(gè)Led發(fā)光二極管,其中七個(gè)用于顯示字符,一個(gè)顯示小數(shù)點(diǎn),所以通稱為七段發(fā)光二極管數(shù)碼顯示器。4位一體數(shù)碼管,其內(nèi)部段已連接好,引腳如圖所示(數(shù)碼管的正面朝自己,小數(shù)點(diǎn)在下方)。a、b、c、d、e、f、g、dp為段引腳,S1、S2、S3、S4分別表示四個(gè)數(shù)碼管的位。2.4AT24C02簡(jiǎn)介如圖1為AT24C02的芯片引腳圖。圖3-1AT24C02的芯片引腳圖AT24C02提供電可擦除的串行1024位存儲(chǔ)或可編程只讀存儲(chǔ)器(EEPROM)128字(8位/字)。芯片在低壓的工業(yè)與商業(yè)應(yīng)用中進(jìn)行了最優(yōu)化。AT24C01的封裝為8腳PDIP、8腳JEDECSOIC、8腳TSSOP,通過2線制串行接口進(jìn)行數(shù)據(jù)傳輸。另外,整個(gè)系列有2.7V(2.7V至5.5V)和1.8V(1.8V至5.5V)兩個(gè)版本。設(shè)備操作:CLOCK和DATA變化:SDA管腳通常外都要拉高。SDA管腳上的數(shù)據(jù)只能在SCL低期間改變。數(shù)據(jù)在SCL高期間改變定義為一個(gè)開始或停止信號(hào)。開始狀態(tài):在任何操作之前必須有一個(gè)開始信號(hào)在SCL為高時(shí)SDA上產(chǎn)生一個(gè)下降沿。停止?fàn)顟B(tài):SCL為高時(shí)SDA產(chǎn)生一個(gè)上升沿是停止信號(hào),停止信號(hào)后將停止所有通信。在一個(gè)讀的序列之后,停止信號(hào)將讓EEPROM進(jìn)入備用電源模式。2.4.1I2C總線說明I2C(Inter-IntegratedCircuit)總線是一種由PHILIPS公司開發(fā)的兩線式串行總線,用于連接微控制器及其外圍設(shè)備。I2C總線產(chǎn)生于在80年代,最初為音頻和視頻設(shè)備開發(fā),如今主要在服務(wù)器管理中使用,其中包括單個(gè)組件狀態(tài)的通信。例如管理員可對(duì)各個(gè)組件進(jìn)行查詢,以管理系統(tǒng)的配置或掌握組件的功能狀態(tài),如電源和系統(tǒng)風(fēng)扇??呻S時(shí)監(jiān)控內(nèi)存、硬盤、網(wǎng)絡(luò)、系統(tǒng)溫度等多個(gè)參數(shù),增加了系統(tǒng)的安全性,方便了管理。1I2C總線的硬件結(jié)構(gòu)I2C串行總線一般有兩根信號(hào)線,一根是雙向的數(shù)據(jù)線SDA,另一根是時(shí)鐘線SCL。所有接到I2C總線設(shè)備上的串行數(shù)據(jù)SDA都接到總線的SDA上,各設(shè)備的時(shí)鐘線SCL接到總線的SCL上。為了避免總線信號(hào)的混亂,要求各設(shè)備連接到總線的輸出端時(shí)必須是開漏輸出或集電極開路輸出。設(shè)備上的串行數(shù)據(jù)線SDA接口電路應(yīng)該是雙向的,輸出電路用于向總線上發(fā)送數(shù)據(jù),輸入電路用于接收總線上的數(shù)據(jù)。而串行時(shí)鐘線也應(yīng)是雙向的,作為控制總線數(shù)據(jù)傳送的主機(jī),一方面要通過SCL輸出電路發(fā)送時(shí)鐘信號(hào),另一方面還要檢測(cè)總線上的SCL電平,以決定什么時(shí)候發(fā)送下一個(gè)時(shí)鐘脈沖電平;作為接受主機(jī)命令的從機(jī),要按總線上的SCL信號(hào)發(fā)出或接收SDA上的信號(hào),也可以向SCL線發(fā)出低電平信號(hào)以延長(zhǎng)總線時(shí)鐘信號(hào)周期??偩€空閑時(shí),因各設(shè)備都是開漏輸出,上拉電阻RP使SDA和SCL線都保持高電平。任一設(shè)備輸出的低電平都將使相應(yīng)的總線信號(hào)線變低,也就是說:各設(shè)備的SDA是“與”關(guān)系,SCL也是“與”關(guān)系??偩€對(duì)設(shè)備接口電路的制造工藝和電平都沒有特殊的要求(NMOS、CMOS都可以兼容)。在I2C總線上的數(shù)據(jù)傳送率可高達(dá)每秒十萬位,高速方式時(shí)在每秒四十萬位以上。另外,總線上允許連接的設(shè)備數(shù)以其電容量不超過400pF為限??偩€的運(yùn)行(數(shù)據(jù)傳輸)由主機(jī)控制。所謂主機(jī)是指啟動(dòng)數(shù)據(jù)的傳送(發(fā)出啟動(dòng)信號(hào))、發(fā)出時(shí)鐘信號(hào)以及傳送結(jié)束時(shí)發(fā)出停止信號(hào)的設(shè)備,通常主機(jī)都是微處理器。被主機(jī)尋訪的設(shè)備稱為從機(jī)。為了進(jìn)行通訊,每個(gè)接到I2C總線的設(shè)備都有一個(gè)唯一的地址,以便于主機(jī)尋訪。主機(jī)和從機(jī)的數(shù)據(jù)傳送,可以由主機(jī)發(fā)送數(shù)據(jù)到從機(jī),也可以由從機(jī)發(fā)到主機(jī)。凡是發(fā)送數(shù)據(jù)到總線的設(shè)備稱為發(fā)送器,從總線上接收數(shù)據(jù)的設(shè)備被稱為接受器。I2C總線在傳送數(shù)據(jù)過程中共有三種類型信號(hào),它們分別是:開始信號(hào)、結(jié)束信號(hào)和應(yīng)答信號(hào)。開始信號(hào):SCL為高電平時(shí),SDA由高電平向低電平跳變,開始傳送數(shù)據(jù)。結(jié)束信號(hào):SCL為高電平時(shí),SDA由低電平向高電平跳變,結(jié)束傳送數(shù)據(jù)。應(yīng)答信號(hào):接收數(shù)據(jù)的IC在接收到8bit數(shù)據(jù)后,向發(fā)送數(shù)據(jù)的IC發(fā)出特定的低電平脈沖,表示已收到數(shù)據(jù)。CPU向受控單元發(fā)出一個(gè)信號(hào)后,等待受控單元發(fā)出一個(gè)應(yīng)答信號(hào),CPU接收到應(yīng)答信號(hào)后,根據(jù)實(shí)際情況作出是否繼續(xù)傳遞信號(hào)的判斷。若未收到應(yīng)答信號(hào),由判斷為受控單元出現(xiàn)故障。如圖3-2所示:SDASDASCL開始結(jié)束圖3-2開始、結(jié)束信號(hào)圖目前有很多半導(dǎo)體集成電路上都集成了I2C接口。帶有I2C接口的單片機(jī)有:CYGNAL的C8051F0XX系列,PHILIPSP87LPC7XX系列,MICROCHIP的PIC16C6XX系列等。很多外圍器件如存儲(chǔ)器、監(jiān)控芯片等也提供I2C接口??偩€基本操作:I2C規(guī)程運(yùn)用主/從雙向通訊。器件發(fā)送數(shù)據(jù)到總線上,則定義為發(fā)送器,器件接收數(shù)據(jù)則定義為接收器。主器件和從器件都可以工作于接收和發(fā)送狀態(tài)??偩€必須由主器件(通常為微控制器)控制,主器件產(chǎn)生串行時(shí)鐘(SCL)控制總線的傳輸方向,并產(chǎn)生起始和停止條件。SDA線上的數(shù)據(jù)狀態(tài)僅在SCL為低電平的期間才能改變,SCL為高電平的期間,SDA狀態(tài)的改變被用來表示起始和停止條件??刂谱止?jié):在起始條件之后,必須是器件的控制字節(jié),其中高四位為器件類型識(shí)別符(不同的芯片類型有不同的定義,EEPROM一般應(yīng)為1010),接著三位為片選,最后一位為讀寫位,當(dāng)為1時(shí)為讀操作,為0時(shí)為寫操作。寫操作:寫操作分為字節(jié)寫和頁(yè)面寫兩種操作,對(duì)于頁(yè)面寫根據(jù)芯片的一次裝載的字節(jié)不同有所不同。讀操作:讀操作有三種基本操作:當(dāng)前地址讀、隨機(jī)讀和順序讀。圖4給出的是順序讀的時(shí)序圖。應(yīng)當(dāng)注意的是:最后一個(gè)讀操作的第9個(gè)時(shí)鐘周期不是“不關(guān)心”。為了結(jié)束讀操作,主機(jī)必須在第9個(gè)周期時(shí)發(fā)出停止條件或者在第9個(gè)時(shí)鐘周期內(nèi)保持SDA為高電平、然后發(fā)出停止條件。

程序流程圖開始開始初始化讀取當(dāng)前溫度讀取AT24C02內(nèi)上下限溫度值當(dāng)前溫度與上下限位關(guān)系判斷SET是否按下加減鍵是否按下相應(yīng)處理上下限位,并寫入AT24C02上限指示燈點(diǎn)亮,蜂鳴器閃響下限指示燈點(diǎn)亮,蜂鳴器閃響While循環(huán)返回否是否是大于上限小于下限顯示當(dāng)前溫度正常范圍結(jié)論通過對(duì)自己在大學(xué)兩年時(shí)間里所學(xué)的知識(shí)的回顧,并充分發(fā)揮對(duì)所學(xué)知識(shí)的理解和對(duì)畢業(yè)設(shè)計(jì)的思考及書面表達(dá)能力,最終完成了本設(shè)計(jì)。這為自己今后進(jìn)一步深化學(xué)習(xí),積累了一定寶貴的經(jīng)驗(yàn)。撰寫論文的過程也是專業(yè)知識(shí)的學(xué)習(xí)過程,它使我運(yùn)用已有的專業(yè)基礎(chǔ)知識(shí),對(duì)其進(jìn)行設(shè)計(jì),分析和解決一個(gè)理論問題或?qū)嶋H問題,把知識(shí)轉(zhuǎn)化為能力的實(shí)際訓(xùn)練。培養(yǎng)了我運(yùn)用所學(xué)知識(shí)解決實(shí)際問題的能力。本次論文設(shè)計(jì),使我加深了對(duì)單片機(jī)的認(rèn)識(shí),并且熟悉了單片機(jī)系統(tǒng)的設(shè)計(jì)流程,收獲豐碩。技術(shù)在不斷進(jìn)步,機(jī)械式時(shí)鐘已經(jīng)被淘汰,電子時(shí)代已經(jīng)到來。做為新時(shí)代的我們,更應(yīng)該提高自身能力,適應(yīng)新時(shí)代的發(fā)展。知識(shí)來自實(shí)踐,多從生活中探尋所需要的。從這次的論文設(shè)計(jì)中,我真正的體會(huì)到,知識(shí)的重要性,特別是要理論聯(lián)系實(shí)際,把我們所學(xué)的理論知識(shí)運(yùn)用到實(shí)際生活當(dāng)中,要用知識(shí)改變一切。參考文獻(xiàn)[1]陳權(quán)昌,李興富.單片機(jī)原理及應(yīng)用[M].廣州:華南理工大學(xué)出版社,2007.84~102[2]李慶亮.C語(yǔ)言程序設(shè)計(jì)實(shí)用教程[M].北京:機(jī)械工業(yè)出版社,2005.32~58[3]楊志忠.數(shù)字電子技術(shù)[M].北京:高等教育出版社,2003.125~132[4]及力.Protel99SE原理圖與PCB設(shè)計(jì)教程[M].北京:電子工業(yè)出版社,2007.89~150[5]徐江海.單片機(jī)實(shí)用教程[M].北京:機(jī)械工業(yè)出版社,2006.128~156[6]胡宴如.模擬電子技術(shù)[M].北京:高等教育出版社,2008.60~104[7]汪文,陳林.單片機(jī)原理及應(yīng)用[M].湖北:華中科技大學(xué)出版社,2007.36~68[8]康華光.電子技術(shù)基礎(chǔ)數(shù)字部分[M].北京:高等教育出版社,2008.203~209[9]楊欣.電子設(shè)計(jì)從零開始[M].北京:清華大學(xué)出版社,2005.28~102

致謝首先,感謝學(xué)校三年來對(duì)我的培養(yǎng)。為我們營(yíng)造了一個(gè)良好的學(xué)習(xí)氛圍,建設(shè)一流的教學(xué)設(shè)施,使我們身心愉快的投入到學(xué)習(xí)中。其次,感謝尊敬的指導(dǎo)老師,有了他的諄諄教誨,處處提點(diǎn),才使本論文的前期準(zhǔn)備以及整個(gè)研究過程順利完成。指導(dǎo)老師的嚴(yán)謹(jǐn)治學(xué)態(tài)度、扎實(shí)的理論基礎(chǔ)、全身心投入工作的精神以及對(duì)學(xué)生盡心盡力的態(tài)度給了我極大的幫助與鼓勵(lì),使我受益匪淺。從指導(dǎo)老師的教學(xué)態(tài)度上,我學(xué)到的不僅僅只有書本上的知識(shí),還有做人的道理。他嚴(yán)肅的科學(xué)態(tài)度,嚴(yán)謹(jǐn)?shù)闹螌W(xué)精神,精益求精的工作作風(fēng),深深地感染和激勵(lì)著我。在此謹(jǐn)向指導(dǎo)老師致以誠(chéng)摯的謝意和崇高的敬意。最后,感謝我的父母多年來給予我的支持和關(guān)懷,同時(shí)感謝我的舍友和朋友對(duì)我的幫助。

附錄A電路原理圖

附錄BC語(yǔ)言程序#include<reg52.h>#defineuiunsignedint#defineucunsignedchar //宏定義sbitSET=P3^1; //定義調(diào)整鍵sbitDEC=P3^2; //定義減少鍵sbitADD=P3^3; //定義增加鍵sbitBEEP=P3^6; //定義蜂鳴器sbitALAM=P1^2; //定義燈光報(bào)警sbitALAM1=P1^4;sbitDQ=P3^7; //定義DS18B20總線I/O sbitSCL=P1^6;sbitSDA=P1^7;sbitDIAN=P0^5; //小數(shù)點(diǎn)bitbdatashanshuo_st; //閃爍間隔標(biāo)志bitbdatabeep_st; //蜂鳴器間隔標(biāo)志ucx=0; //計(jì)數(shù)器uibai,shi,ge;ucset_st=0; //狀態(tài)標(biāo)志charshangxian,xiaxian;codeLEDData[]={0x5F,0x44,0x9D,0xD5,0xC6,0xD3,0xDB,0x47,0xDF,0xD7,0xCF,0xDA,0x9B,0xDC,0x9B,0x8B};//====================================DS18B20=========================================/*****延時(shí)子程序*****/voidDelay_DS18B20(intnum){while(num--);}voiddelay()//5微秒延時(shí)函數(shù){;;}voidstart()//開始信號(hào){ SDA=1; delay(); SCL=1; delay(); SDA=0; delay();}voidstop()//終止信號(hào){ SDA=0; delay(); SCL=1; delay(); SDA=1; delay();}voidrespons()//應(yīng)答{ uci; SCL=1; delay(); while((SDA==1)&&(i<250))i++;//如果SDA為低應(yīng)答有效,或者超過一定時(shí)間默認(rèn)應(yīng)答有效 SCL=0; delay();}voidinit24c04()//I2C總線初始化{ SDA=1; delay(); SCL=1; delay();}voidwrite_byte(ucdate)//寫操作{ uci,temp; temp=date; for(i=0;i<8;i++) { temp=temp<<1; SCL=0; delay(); SDA=CY; delay(); SCL=1; delay(); } SCL=0; delay(); SDA=1; delay();}ucread_byte()//讀操作{ uci,k; SCL=0; delay(); SDA=1; delay(); for(i=0;i<8;i++) { SCL=1; delay(); k=(k<<1)|SDA; SCL=0; delay(); } returnk;}voidwrite_add(ucaddress,ucdate)//往任意地址存數(shù)據(jù){ start(); write_byte(0xa0);//0xa0代表寫入 respons(); write_byte(address); respons(); write_byte(date); respons(); stop();}ucread_add(ucaddress)//讀隨意地址內(nèi)容{ ucdate; start(); write_byte(0xa0);//0xa0代表寫入 respons(); write_byte(address); respons(); start(); write_byte(0xa1);//0xa1代表讀出 respons(); date=read_byte(); stop(); returndate;//返回值}/*****初始化DS18B20*****/voidInit_DS18B20(void){ucw=0;DQ=1;//DQ復(fù)位Delay_DS18B20(8);//稍做延時(shí)DQ=0;//單片機(jī)將DQ拉低Delay_DS18B20(80);//精確延時(shí),大于480usDQ=1;//拉高總線Delay_DS18B20(14);w=DQ;//稍做延時(shí)后,如果x=0則初始化成功,x=1則初始化失敗Delay_DS18B20(20);}/*****讀一個(gè)字節(jié)*****/unsignedcharReadOneChar(void){uci=0;ucdat=0;for(i=8;i>0;i--){DQ=0;//給脈沖信號(hào)dat>>=1;DQ=1;//給脈沖信號(hào)if(DQ)dat|=0x80;Delay_DS18B20(4);}return(dat);}/*****寫一個(gè)字節(jié)*****/voidWriteOneChar(ucdat){uci=0;for(i=8;i>0;i--){DQ=0;DQ=dat&0x01;Delay_DS18B20(5);DQ=1;dat>>=1;}}/*****讀取溫度*****/uiReadTemperature(void){uib=0;floattt=0;Init_DS18B20();WriteOneChar(0xCC);//跳過讀序號(hào)列號(hào)的操作WriteOneChar(0x44);//啟動(dòng)溫度轉(zhuǎn)換Init_DS18B20();WriteOneChar(0xCC);//跳過讀序號(hào)列號(hào)的操作WriteOneChar(0xBE);//讀取溫度寄存器a=ReadOneChar();//讀低8位b=ReadOneChar();//讀高8位t=b;t<<=8;t=t|a;tt=t*0.0625;t=tt*10+0.5;//放大10倍輸出并四舍五入return(t);}/*****延時(shí)子程序*****/voidDelay(uinum){while(--num);}/*****初始化定時(shí)器0*****/voidInitTimer(void){ TMOD=0x01; TH0=0x3c; TL0=0xb0;//50ms(晶振12M)}/*****讀取溫度*****/voidcheck_wendu(void){ uif; f=ReadTemperature()-5; //獲取溫度值并減去DS18B20的溫漂誤差 if(f<0)f=0; if(f>999)f=999; bai=f/100; //計(jì)算得到十位數(shù)字 shi=(f%100)/10; //計(jì)算得到個(gè)位數(shù)字 ge=(f%100)%10; //計(jì)算得到整數(shù)位}/*****顯示開機(jī)初始化等待畫面*****/voidDisp_init(void){ P0=~0x80;//顯示 P2=0x7F; Delay(200); P2=0xDF; Delay(200); P2=0xF7; Delay(200); P2=0xFD; Delay(200); P2=0xFF;//關(guān)閉顯示}/*****顯示溫度子程序*****/voidDisp_Temperature(void)//顯示溫度{ P0=~0x98;//顯示C P2=0x7F; Delay(400); P0=~LEDData[ge];//顯示個(gè)位 P2=0xDF; Delay(400); P0=~LEDData[shi];//顯示十位 DIAN=0;//顯示小數(shù)點(diǎn) P2=0xF7; Delay(400); P0=~LEDData[bai];//顯示百位 P2=0xFD; Delay(400); P2=0xff;//關(guān)閉顯示}/*****顯示報(bào)警溫度子程序*****/voidDisp_alarm(ucbaojing){ P0=~0x98;//顯示C P2=0x7F; Delay(200); P0=~LEDData[baojing%10];//顯示十位 P0=~LEDData[baojing/10];//顯示百位 P2=0xF7; Delay(200); if(set_st

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論