2014-3-嵌入式系統(tǒng)體系結(jié)構(gòu)與開發(fā)-指令系統(tǒng)與IO接口資料_第1頁
2014-3-嵌入式系統(tǒng)體系結(jié)構(gòu)與開發(fā)-指令系統(tǒng)與IO接口資料_第2頁
2014-3-嵌入式系統(tǒng)體系結(jié)構(gòu)與開發(fā)-指令系統(tǒng)與IO接口資料_第3頁
2014-3-嵌入式系統(tǒng)體系結(jié)構(gòu)與開發(fā)-指令系統(tǒng)與IO接口資料_第4頁
2014-3-嵌入式系統(tǒng)體系結(jié)構(gòu)與開發(fā)-指令系統(tǒng)與IO接口資料_第5頁
已閱讀5頁,還剩61頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

嵌入式系統(tǒng)體系結(jié)構(gòu)與開發(fā)

嵌入式處理器ARM指令系統(tǒng)ARM體系的結(jié)構(gòu)版本ARM體系的結(jié)構(gòu)版本ARM體系結(jié)構(gòu)共有6個(gè)版本,版本號(hào)1-6指令集功能不斷擴(kuò)大特定功能:T——支持Thumb指令集M——支持長(zhǎng)乘法指令E——支持增加型DSP指令J——java加速器SIMD——ARM媒體功能擴(kuò)展ARM微處理器工作模式與寄存器ARM微處理器指令集ARMTHUMBARM微處理器工作模式用戶模式特權(quán)模式(系統(tǒng)模式與異樣模式)模式切換寄存器組織寄存器定義模式與寄存器ARM與THUMB從編程的角度看,ARM微處理器有兩種指令集,可在兩種指令集之間切換:ARM指令集,處理器執(zhí)行32位的字對(duì)齊的ARM指令;Thumb指令集,處理器執(zhí)行16位的、半字對(duì)齊Thumb指令。THUMB指令是ARM指令的子集可以相互調(diào)用,只要遵循確定的調(diào)用規(guī)則Thumb指令與ARM指令的時(shí)間效率和空間效率關(guān)系為:存儲(chǔ)空間約為ARM代碼的60%~70%指令數(shù)比ARM代碼多約30%~40%存儲(chǔ)器為32位時(shí),ARM代碼比Thumb代碼快約40%存儲(chǔ)器為16位時(shí),Thumb比ARM代碼快約40~50%運(yùn)用Thumb代碼,存儲(chǔ)器的功耗會(huì)降低約30%ARM與THUMB指令指令切換方法ARM指令集和Thumb指令集均有切換處理器狀態(tài)的指令,在起先執(zhí)行代碼時(shí),應(yīng)當(dāng)處于ARM指令集指令集切換分狀態(tài)位設(shè)置和異樣處理兩種進(jìn)入Thumb指令當(dāng)操作數(shù)寄存器的狀態(tài)位(位0)為1時(shí),接受執(zhí)行BX指令的方法,使微處理器從ARM指令集切換到Thumb指令集當(dāng)處理器處于Thumb指令時(shí),發(fā)生異樣(如IRQ、FIQ、Undef、Abort、SWI等),則異樣處理返回時(shí),自動(dòng)切換到Thumb指令。切換到ARM指令當(dāng)操作數(shù)寄存器的狀態(tài)位(位0)為0時(shí),執(zhí)行BX指令時(shí)可以使微處理器從Thumb切換到ARM在處理器進(jìn)行異樣處理時(shí),把PC指針放入異樣模式鏈接寄存器中,并從異樣向量地址起先執(zhí)行程序,也可以使處理器切換到ARM。ARM微處理器運(yùn)行模式User模式用戶程序不能訪問有些受疼惜的資源只能通過異樣的形式來變更CPU的當(dāng)前運(yùn)行模式特權(quán)模式可以存取系統(tǒng)中的任何資源System模式系統(tǒng)模式與User模式的運(yùn)行環(huán)境一樣但是它可以不受任何限制的訪問任何資源該模式主要用于運(yùn)行系統(tǒng)中的一些特權(quán)任務(wù)FIQ模式IRQ模式Supervisor模式Abort模式Undefined模式異樣模式:主要是在外部中斷或者程序執(zhí)行非法操作時(shí)會(huì)觸發(fā)用戶模式處理器模式用戶模式。usr:ARM處理器正常的程序執(zhí)行狀態(tài)特權(quán)模式(異樣模式)fiq:用于高速數(shù)據(jù)傳輸或通道處理irq:用于通用的中斷處理svc:操作系統(tǒng)運(yùn)用的疼惜模式abt:用于虛擬存儲(chǔ)及存儲(chǔ)疼惜und:當(dāng)出現(xiàn)未定義指令終止時(shí)進(jìn)入該模式sys:運(yùn)行具有特權(quán)的操作系統(tǒng)任務(wù)ARM微處理器運(yùn)行模式ARM處理器運(yùn)行模式圖ARM微處理器的指令系統(tǒng)

ARM微處理器的指令集是加載/存儲(chǔ)型的指令集僅能處理寄存器中的數(shù)據(jù),處理結(jié)果都要放回寄存器中對(duì)系統(tǒng)存儲(chǔ)器的訪問則須要通過特地的加載/存儲(chǔ)指令來完成。ARM微處理器的指令集可以分為六大類跳轉(zhuǎn)指令數(shù)據(jù)處理指令程序狀態(tài)寄存器(PSR)處理指令加載/存儲(chǔ)指令協(xié)處理器指令和異樣產(chǎn)生指令OpCode指令助記符Cond:執(zhí)行條件S:是否影響狀態(tài)寄存器Rd:目標(biāo)寄存器Rn:第1個(gè)操作數(shù)寄存器Operand2:第2個(gè)操作數(shù)ARM指令基本語法格式<Opcode>{<Cond>}{S}<Rd><Rn>{,<Operand2>}例子LDR R0,[R1] ;無條件執(zhí)行BEQ LOOP ;等于條件ADDSR2,R2,#1 ;影響狀態(tài)位,R2<-R2+1指令編碼格式ARM指令編碼結(jié)構(gòu)——條件域ARM指令編碼的條件域當(dāng)處理器工作在ARM狀態(tài)時(shí),幾乎全部的指令均依據(jù)CPSR中條件碼的狀態(tài)和指令的條件域有條件的執(zhí)行。當(dāng)指令的執(zhí)行條件滿足時(shí),指令被執(zhí)行,否則指令被忽視。每一條ARM指令包含4位的條件碼,位于指令的最高4位[31:28]。條件碼共有16種,每種條件碼可用兩個(gè)字符表示,這兩個(gè)字符可以添加在指令助記符的后面和指令同時(shí)運(yùn)用。例如,跳轉(zhuǎn)指令B可以加上后綴EQ變?yōu)锽EQ表示“相等則跳轉(zhuǎn)”,即當(dāng)CPSR中的Z標(biāo)記置位時(shí)發(fā)生跳轉(zhuǎn)。ARM指令編碼的條件域條件編碼條件碼后綴標(biāo)志含義0000EQZ置位相等0001NEZ清零不相等0010CSC置位無符號(hào)數(shù)大于或等于0011CCC清零無符號(hào)數(shù)小于0100MIN置位負(fù)數(shù)0101PLN清零正數(shù)或零0110VSV置位溢出0111VCV清零未溢出ARM指令編碼的條件域條件編碼條件碼后綴標(biāo)志含義1001LSC清零Z置位無符號(hào)數(shù)小于或等于1010GEN等于V帶符號(hào)數(shù)大于或等于1011LTN不等于V帶符號(hào)數(shù)小于1100GTZ清零且(N等于V)帶符號(hào)數(shù)大于1101LEZ置位或(N不等于V)帶符號(hào)數(shù)小于或等于1110AL忽略無條件執(zhí)行ARM微處理器指令表-1助記符指令功能描述ADC帶進(jìn)位加法指令A(yù)DD加法指令A(yù)ND邏輯與指令B跳轉(zhuǎn)指令BIC位清零指令BL帶返回的跳轉(zhuǎn)指令BLX帶返回和狀態(tài)切換的跳轉(zhuǎn)指令BX帶狀態(tài)切換的跳轉(zhuǎn)指令A(yù)RM微處理器指令表-2助記符指令功能描述CDP協(xié)處理器數(shù)據(jù)操作指令CMN比較反值指令CMP比較指令EOR異或指令LDC存儲(chǔ)器到協(xié)處理器的數(shù)據(jù)傳輸指令LDM加載多個(gè)寄存器指令LDR存儲(chǔ)器到寄存器的數(shù)據(jù)傳輸指令MCRARM寄存器到協(xié)處理器寄存器數(shù)據(jù)傳輸ARM微處理器指令表-3助記符指令功能描述MLA乘加運(yùn)算指令MOV數(shù)據(jù)傳送指令MRC協(xié)處理器寄存器到ARM寄存器數(shù)據(jù)傳輸MRS傳送CPSR或SPSR的內(nèi)容到通用寄存器MSR傳送通用寄存器到CPSR或SPSR的指令MUL32位乘法指令MLA32位乘加指令MVN數(shù)據(jù)取反傳送指令A(yù)RM微處理器指令表-4助記符指令功能描述ORR邏輯或指令RSB逆向減法指令RSC帶借位的逆向減法指令SBC帶借位減法指令STC協(xié)處理器寄存器寫入存儲(chǔ)器指令STM批量?jī)?nèi)存字寫入指令STR寄存器到存儲(chǔ)器的數(shù)據(jù)傳輸指令SUB減法指令A(yù)RM微處理器指令表-5助記符指令功能描述SWI軟件中斷指令SWP交換指令TEQ相等測(cè)試指令TST位測(cè)試指令A(yù)RM尋址方式ARM尋址方式立刻尋址寄存器尋址寄存器間接尋址基址變址尋址多寄存器尋址相對(duì)尋址堆棧尋址ARM指令的尋址方式ARM指令系統(tǒng)支持如下幾種常見的尋址方式:立刻尋址寄存器尋址寄存器間接尋址基址變址尋址多寄存器尋址相對(duì)尋址堆棧尋址ARM指令的尋址方式-立刻尋址立刻尋址操作數(shù)本身就在指令中給出,只要取出指令也就取到了操作數(shù)。這個(gè)操作數(shù)被稱為立刻數(shù)。例如以下指令:ADDR0,R0,#1 /*R0←R0+1*/ADDR0,R0,#0x3f /*R0←R0+0x3f*/立刻操作數(shù)在以上兩條指令中,其次個(gè)源操作數(shù)即為立刻數(shù),要求以“#”為前綴,對(duì)于以十六進(jìn)制表示的立刻數(shù),還要求在“?!焙蠹由稀?x”。ARM指令的尋址方式-寄存器尋址

寄存器尋址利用寄存器中的數(shù)值作為操作數(shù),這種尋址方式是各類微處理器常常接受的一種方式,也是一種執(zhí)行效率較高的尋址方式。以下指令: ADD R0,R1,R2 /*R0←R1+R2*/該指令的執(zhí)行效果是將寄存器R1和R2的內(nèi)容相加,其結(jié)果存放在寄存器R0中。ARM指令的尋址方式-寄存器間接尋址

寄存器間接尋址以寄存器中的值作為操作數(shù)的地址,而操作數(shù)本身存放在存儲(chǔ)器中。例如以下指令:ADDR0,R1,[R2] /*R0←R1+[R2]*/LDR R0,[R1] /*R0←[R1]*/STR R0,[R1] /*[R1]←R0*/第一條指令中,以寄存器R2的值作為操作數(shù)的地址,在存儲(chǔ)器中取得一個(gè)操作數(shù)后與R1相加,結(jié)果存入寄存器R0中;其次條指令將以R1的值為地址的存儲(chǔ)器中的數(shù)據(jù)傳送到R0中。第三條指令將R0的值傳送到以R1的值為地址的存儲(chǔ)器中。ARM指令的尋址方式-基址變址尋址

基址變址尋址將基址寄存器的內(nèi)容與指令中給出的地址偏移量相加,從而得到一個(gè)操作數(shù)的有效地址。變址尋址方式常用于訪問某基地址旁邊的地址單元。接受變址尋址方式的指令常見有以下幾種形式,如下所示: LDRR0,[R1,#4] ;R0←[R1+4] LDRR0,[R1,#4]! ;R0←[R1+4]、R1←R1+4 LDRR0,[R1],#4 ;R0←[R1]、R1←R1+4 LDRR0,[R1,R2] ;R0←[R1+R2]在第一條指令中,將寄存器R1的內(nèi)容加上4形成操作數(shù)的有效地址,從而取得操作數(shù)存入寄存器R0中。在其次條指令中,將寄存器R1的內(nèi)容加上4形成操作數(shù)的有效地址,從而取得操作數(shù)存入寄存器R0中,然后,R1的內(nèi)容自增4個(gè)字節(jié)。在第三條指令中,以寄存器R1的內(nèi)容作為操作數(shù)的有效地址,從而取得操作數(shù)存入寄存器R0中,然后,R1的內(nèi)容自增4個(gè)字節(jié)。在第四條指令中,將寄存器R1的內(nèi)容加上寄存器R2的內(nèi)容形成操作數(shù)的有效地址,從而取得操作數(shù)存入寄存器R0中。ARM指令的尋址方式-多寄存器尋址

多寄存器尋址方式,一條指令可以完成多個(gè)寄存器值的傳送。這種尋址方式可以用一條指令完成傳送最多16個(gè)通用寄存器的值。以下指令: LDMIAR0,{R1,R2,R3,R4} ;R1←[R0]

;R2←[R0+4]

;R3←[R0+8]

;R4←[R0+12]該指令的后綴IA表示在每次執(zhí)行完加載/存儲(chǔ)操作后,R0按字長(zhǎng)度增加,因此,指令可將連續(xù)存儲(chǔ)單元的值傳送到R1~R4。

STMIAR0!,{R1-R4};[R0]←R1

;[R0+4]←R2

;[R0+8]←R3

;[R0+12]←R4ARM指令的尋址方式-相對(duì)尋址

與基址變址尋址方式相類似,相對(duì)尋址以程序計(jì)數(shù)器PC的當(dāng)前值為基地址,指令中的地址標(biāo)號(hào)作為偏移量,將兩者相加之后得到操作數(shù)的有效地址。以下程序段完成子程序的調(diào)用和返回,跳轉(zhuǎn)指令BL接受了相對(duì)尋址方式:

BLNEXT ;跳轉(zhuǎn)到子程序NEXT處執(zhí)行 …… NEXT …… MOV PC,LR ;從子程序返回ARM指令的尋址方式-堆棧尋址

堆棧是一種數(shù)據(jù)結(jié)構(gòu),按先進(jìn)后出(FirstInLastOut,F(xiàn)ILO)的方式工作,運(yùn)用一個(gè)稱作堆棧指針的專用寄存器指示當(dāng)前的操作位置,堆棧指針總是指向棧頂。當(dāng)堆棧指針指向最終壓入堆棧的數(shù)據(jù)時(shí),稱為滿堆棧(FullStack),而當(dāng)堆棧指針指向下一個(gè)將要放入數(shù)據(jù)的空位置時(shí),稱為空堆棧(EmptyStack)。ARM指令的尋址方式-堆棧尋址堆棧的生成方式:遞增堆棧(AscendingStack)當(dāng)堆棧由低地址向高地址生成時(shí),稱為遞增堆棧;遞減堆棧(DecendingStack),當(dāng)堆棧由高地址向低地址生成時(shí),稱為遞減堆棧。四種類型的堆棧工作方式:滿遞增堆棧:堆棧指針指向最終壓入的數(shù)據(jù),且由低地址向高地址生成。滿遞減堆棧:堆棧指針指向最終壓入的數(shù)據(jù),且由高地址向低地址生成。空遞增堆棧:堆棧指針指向下一個(gè)將要放入數(shù)據(jù)的空位置,且由低地址向高地址生成??者f減堆棧:堆棧指針指向下一個(gè)將要放入數(shù)據(jù)的空位置,且由高地址向低地址生成ARM偽指令A(yù)RM偽指令數(shù)據(jù)定義偽指令限制偽指令地址加載偽指令聲明引用段域偽指令A(yù)RM的偽指令定義數(shù)據(jù)偽指令DCB支配連續(xù)的字節(jié)存儲(chǔ)單元,并設(shè)置初值DCW/DCWU支配連續(xù)的半字存儲(chǔ)單元,并設(shè)置初值DCD/DCDU支配連續(xù)的字存儲(chǔ)單元,并設(shè)置初值DCQ/DCQU支配8字節(jié)為塊存儲(chǔ)單元,并設(shè)置初值DCFS/DCFSU為單精度浮點(diǎn)數(shù)支配連續(xù)存儲(chǔ)單元,并設(shè)置初值DCFD/DCFDU為雙精度浮點(diǎn)數(shù)支配連續(xù)的字存儲(chǔ)單元,并設(shè)置初值SPACE支配一塊連續(xù)的存儲(chǔ)單元FIELD支配一塊連續(xù)的存儲(chǔ)單元MAP定義一個(gè)結(jié)構(gòu)化的內(nèi)存表的首地址ARM的偽指令限制偽指令I(lǐng)F指令序列ELSE指令序列ENDIF條件編譯指令WHILEWEND條件循環(huán)執(zhí)行指令地址加載偽指令A(yù)DR 小范圍的地址讀取ADRL 中范圍的地址讀取LDR 大范圍的地址讀取NOPARM的偽指令聲明引用EQU定義字符名稱EXPORT聲明全局標(biāo)號(hào)IMPORT在其他源文件中定義標(biāo)號(hào)EXTERN在其他源文件中定義標(biāo)號(hào)GET(或Include)包含源文件指令I(lǐng)NCBIN包含目標(biāo)文件和數(shù)據(jù)文件包指令RN定義寄存器別名ROUT定義局部變量的作用范圍ARM的偽指令段域偽指令FILED和MAP結(jié)構(gòu)化內(nèi)存表中的數(shù)據(jù)域指令A(yù)REA定義代碼段和數(shù)據(jù)段指令CODE:代碼段DATA:數(shù)據(jù)段READONLYREADWRITEALIGNCOMMON:通用段ALIGN對(duì)齊指令CODE16和CODE32指示指令序列類型指令ENTRY指定入口點(diǎn)指令END應(yīng)用程序結(jié)尾指令程序舉例ENTRYstartMOVR0,#0xFFMOVR1,#0xEF000000MOVR2,#0x2F000000MOVR3,#0xFFADDR4,R2,R1 ;不變更狀態(tài)位,R4=0X1E000000ADDS R5,R2,R1 ;變更狀態(tài)位CPSR=0x2000003d,結(jié)果不變ADCSR6,R2,R1 ;結(jié)果R4=0X1E000001,SUBR4,R2,R1 ;不變更狀態(tài)位,R4=0X40000000SUBSR4,R2,R1 ;變更狀態(tài)位CPSR=0x0000003dRSBR5,R2,R1 ;R5=0XC0000000RSBSR5,R2,R1 ;變更狀態(tài)位CPSR=0xA000003dSBCR6,R1,R2 ;R6=0XC0000000RSCR7,R1,R2 ;R7=0x40000000,不變更狀態(tài)位stopBstopEND2GPIOI/O定義ARM中的I/O接口定義2410硬件上的引線系統(tǒng)上的可編程寄存器程序上的應(yīng)用各類接口的定義接口的主要功能硬件上信號(hào)線可編程硬件寄存器應(yīng)用程序的設(shè)計(jì)各類接口的程序組織系統(tǒng)部分公共部分特性部分ARM中的I/O接口定義ARM中的I/O接口定義2410硬件上的引線系統(tǒng)上的可編程寄存器程序上的應(yīng)用2410硬件上的引線有117個(gè)通用的IO接口PROTA(23)PROTB(11)PROTC(16)PROTD(16)PROTE(16)PROTF(8)PROTG(16)PROTH(11)ARM公司將每個(gè)IO接口作成復(fù)用信號(hào)線見圖Mini2410P1-3每個(gè)應(yīng)用可以依據(jù)實(shí)際狀況選用PortA組的引腳功能PortB組的引腳功能PortC組的引腳功能PortD組的引腳功能PortE組的引腳功能PortF組的引腳功能PortG組的引腳功能PortH組的引腳功能系統(tǒng)上的可編程寄存器可編程的幾種寄存器接口配置寄存器PORTCONFIGURATIONREGISTER(GPACON-GPHCON)接口數(shù)據(jù)寄存器PORTDATAREGISTER(GPADAT-GPHDAT)接口上拉寄存器PORTPULL-UPREGISTER(GPBUP-GPHUP)雜項(xiàng)寄存器MISCELLANEOUSCONTROLREGISTER外部中斷限制寄存器EXTERNALINTERRUPTCONTROLREGISTER(EXTINTN)電源關(guān)閉模式和I/O接口POWER_OFFMODEANDI/OPORTS通用狀態(tài)寄存器GENERALSTATUSREGISTER(GSTATUSn)系統(tǒng)上的可編程寄存器可編程的幾種寄存器地址GPX每組有四個(gè)可編程寄存器:CON,DAT,UP,RESERVED地址連續(xù):00,04,08,0C分組寄存器編址PortA0X56000000-0X5600000CPortB0X56000010-0X5600001CPortC0X56000020-0X5600002CPortD0X56000030-0X5600003CPortE0X56000040-0X5600004CPortF0X56000050-0X5600005CPortG0X56000060-0X5600006CPortH0X56000070-0X5600007C接口配置寄存器

(GPACON)0X56000000只有輸出地址線接口配置寄存器

(GPB-HCON)0X56000010輸入輸出專用信號(hào)線保留接口數(shù)據(jù)寄存器(GPADAT-GPHDAT)0X560000X4接口數(shù)據(jù)寄存器定義引腳被定義為輸出時(shí),數(shù)據(jù)寄存器作為輸出鎖存引腳被定義為輸入時(shí),數(shù)據(jù)寄存器作為輸入鎖存引腳被定義為專用功能時(shí),數(shù)據(jù)寄存器依據(jù)功能線定義留意:輸出數(shù)據(jù)是寫入的限制叮囑或數(shù)據(jù)輸入數(shù)據(jù)是讀入的狀態(tài)或數(shù)據(jù)接口上拉寄存器(GPBUP-GPHUP)X560000X8上拉寄存器當(dāng)相應(yīng)的位為=0時(shí),表示該位上拉信號(hào)使能當(dāng)相應(yīng)的位為=1時(shí),表示該位上拉信號(hào)無效留意上拉是為引腳供應(yīng)電流假如不運(yùn)用上拉,須要外接上拉電壓來供應(yīng)電流接口雜項(xiàng)寄存器(MISCELLANEOUS)X56000080程序上的定義定義寄存器名和端口地址定義2410addr.h初始化寄存器端口初值2410lib.Cvoidport_init(void)正常調(diào)用關(guān)系Main()SysInit()port_init()APP_TEST()系統(tǒng)初始化voidsys_init(){ change_clock_divider(1,1); //1:2:4 change_value_MPLL(M_MDIV,M_PDIV,M_SDIV); //Fin=12MHzFCLK=202.8MHz delay(0); //adjustthedelaycount

port_init();

interrupts_init(); uart_init(PCLK,115200,UART0); uart_init(PCLK,115200,UART1); uart_init(PCLK,115200,UART2);#ifdefCLK124_200M change_value_MPLL(88,1,1); //Fin=12MHzFCLK=192MHz uart_init(192000000/4,115200,UART0); uart_init(192000000/4,115200,UART1);#endif uart_select(UART1); print_infor(); delay(500);}port_init(void)voidport_init(void){//CAUTION:Followtheconfigurationorderforsettingtheports.//1)settingcontrolregister(GPnCON)//*(bitn)--meansthispinnotuseoncircuit,andsetasdefaultfunction//2)configurepull-upresistor(GPnUP)//3)settingvalue(GPnDAT)//===PORTAGROUPrGPACON=0x5fbfff;//===*PORTBGROUP//Ports:GPB10GPB9GPB8GPB7GPB6GPB5GPB4GPB3GPB2GPB1GPB0//Signal:GPB10GPB9GPB8GPB7GPB6DIS_OFFL3CLOCKL3DATAL3MODEPWM2PWM1//Setting:OutputOutputOutputOutputOutputOutputOutputOutputOutputTOUT1TOUT0//Binary:01,01*01,*01*01,0101,0101,1010

rGPBCON=0x155559;……各類接口的定義各類接口的定義接口的主要功能硬件上信號(hào)線可編程硬件寄存器應(yīng)用程序的設(shè)計(jì)接口功能接口功能系統(tǒng)板上信號(hào)指示燈三個(gè)指示燈接在鎖存器的D2-D0上電路引腳電路關(guān)系CPLD-138-或門-8D輸出鎖存器CPLD選通信號(hào)線EXCS:A25-A21(01000)3-8譯碼器片選使能接EXCS,3-8譯碼器譯碼信號(hào)ABC:A20-A18(110),~Y6輸出輸出鎖存器的數(shù)據(jù)接LED負(fù)端,正端接上拉電阻~Y6和~WE信號(hào)或作用輸出鎖存器LED屬于無條件輸出,輸出地址A25-A18=01000110000000000000

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論