cadence的原理圖庫(kù)設(shè)計(jì)_第1頁(yè)
cadence的原理圖庫(kù)設(shè)計(jì)_第2頁(yè)
cadence的原理圖庫(kù)設(shè)計(jì)_第3頁(yè)
cadence的原理圖庫(kù)設(shè)計(jì)_第4頁(yè)
cadence的原理圖庫(kù)設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩36頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

會(huì)計(jì)學(xué)1cadence的原理圖庫(kù)設(shè)計(jì)CADENCE原理圖庫(kù)結(jié)構(gòu)Librarysym_1entitychipspart_tablevlog_modesymbol.cssfileverilog.vfilechips.prtfilepart.ptffileverilog.vfilelcxlcxcellLcx.catfile第1頁(yè)/共41頁(yè)sym_1entitychipspart_tablevlog_modesymbol.cssfileverilog.vfilechips.prtfilepart.ptffileverilog.vfilelcxcell符號(hào)圖形文件包含端口列表器件特征及物理封裝等信息器件附加屬性文件功能上的仿真模型文件CADENCE原理圖庫(kù)結(jié)構(gòu)第2頁(yè)/共41頁(yè)

從上面的結(jié)構(gòu)可以看出“cadence”的原理圖庫(kù)是由數(shù)據(jù)文件構(gòu)成。這樣,作庫(kù)人也可以直接從庫(kù)文件目錄中選取某個(gè)文件進(jìn)行拷貝和修改。當(dāng)然,首先要對(duì)文件結(jié)構(gòu)和內(nèi)容十分熟悉。用這種方法建的原理圖庫(kù)經(jīng)常會(huì)出一些錯(cuò)誤。所以還是按照CADENCE的建庫(kù)步驟,使用“PartDeveloper”

建庫(kù)工具來(lái)建原理圖庫(kù)。第3頁(yè)/共41頁(yè)P(yáng)artDeveloper界面chipspart_tablesym_1entityvlog_mode

前三項(xiàng)是最常用的三項(xiàng)根據(jù)目前設(shè)計(jì)狀況另外兩項(xiàng)作庫(kù)時(shí)可以暫時(shí)不考慮第4頁(yè)/共41頁(yè)

對(duì)于初學(xué)者來(lái)說,創(chuàng)建原理圖庫(kù)不但要了解庫(kù)文件及對(duì)應(yīng)的結(jié)構(gòu)關(guān)系,還要熟悉創(chuàng)建流程和熟練使用庫(kù)編輯器(PartDeveloper)為自己服務(wù)。下面以一個(gè)簡(jiǎn)單器件的創(chuàng)建過程來(lái)演示一下一個(gè)元件庫(kù)的創(chuàng)建流程。同時(shí),介紹一下“PartDeveloper”的基本使用。啟動(dòng)PROJECTMANAGER,建立一個(gè)新工程(PROJECT)(或者直接從開始選擇libraryexplorer啟動(dòng)。)第5頁(yè)/共41頁(yè)

在工程(project)的主界面選擇Tools/LibraryTools/PartDeveloperGOON第6頁(yè)/共41頁(yè)選擇CreateNew第7頁(yè)/共41頁(yè)

在Library選項(xiàng)中,選擇將來(lái)要存放新建器件的庫(kù).

這里,我建議大家最初建庫(kù)時(shí),最好把自己所建的器件放到自己的庫(kù)內(nèi).這樣,既方便本人查找\修改,也不會(huì)導(dǎo)致各個(gè)公用庫(kù)內(nèi)器件的混亂.第8頁(yè)/共41頁(yè)

在“partname”

和“physicalpart”

選項(xiàng)填入名稱。例如:“74LVT574”

注意選擇要?jiǎng)?chuàng)建器件的類型為了仿真第9頁(yè)/共41頁(yè)

在LogicalPin”對(duì)話框彈出同時(shí)也會(huì)出現(xiàn)一個(gè)表單。設(shè)計(jì)者在輸入“pin”

之前,要根據(jù)供應(yīng)商提供元件的“datasheet”中“pinname”的形式進(jìn)行“Edit”選項(xiàng)的設(shè)置第10頁(yè)/共41頁(yè)第11頁(yè)/共41頁(yè)

那么在這里:Bit:是基于位的形式Slot:是基于槽的形式Group:是基于組的形式?;谀姆N形式要根據(jù)“Datasheet”的形式來(lái)決定。如要輸入矢量,請(qǐng)選擇:BN-B;BN;BN-B。如要輸入標(biāo)量,請(qǐng)選擇:BN-S;BN;BN-S第12頁(yè)/共41頁(yè)

在LogicalPin對(duì)話框中輸入pinname之前。一定要在

“pin”選項(xiàng)種選擇正確的類型。(按所選用元件的說明)

GOON第13頁(yè)/共41頁(yè)

在LogicalPin對(duì)話框中逐個(gè)輸入pinname。注意:這里類似總線形式或有一定規(guī)律的pin,可以一次輸入,譬如:A1-A16;1D-8D等等。GOON第14頁(yè)/共41頁(yè)

注意“LogicalPin”

的分支要保證正確,即位于哪一個(gè)符號(hào)下,否則到后來(lái)出問題查找比較麻煩第15頁(yè)/共41頁(yè)接下來(lái)定義一個(gè)器件封裝(package):右鍵點(diǎn)擊“packages”,選擇“new”,在“SpecifyPackType

“選項(xiàng)中封裝類型,如“DIP”。在ReferenceDesignator選擇中選擇一種元件類型。如“D”。(設(shè)計(jì)者應(yīng)嚴(yán)格按照原理圖設(shè)計(jì)規(guī)范中規(guī)定的各種元件對(duì)應(yīng)的文字符號(hào)來(lái)添入此選項(xiàng))在下面屬性中加入一條:body_name,值和上面的physicalpart相同(為了原理圖反標(biāo)的正確性)第16頁(yè)/共41頁(yè)然后點(diǎn)擊“SpecifyFootprint”在“JEDEC_TYPE”選項(xiàng)中輸入對(duì)應(yīng)PCB的物理封裝。如:“DIP20”這里也可不填,在下面part_table中填。GOON第17頁(yè)/共41頁(yè)

然后點(diǎn)擊“PhysicalPinMapping”然后選擇AddManually,點(diǎn)擊PinNumbers,在“Numeric”選項(xiàng)中輸入“1-20”。

APPLY這里如果在上頁(yè)中加入了jedec_type的封裝名則extractfromfootprint直接取出管腳。

第18頁(yè)/共41頁(yè)

這里,提醒一下,在“Numeric”選項(xiàng)中,只能輸入數(shù)字。如果要輸入類似“A1、B2之類的管腳號(hào),應(yīng)選擇“Grid”選項(xiàng)。另外,一段時(shí)間以來(lái),不少硬件設(shè)計(jì)人員在輸入管腳號(hào)時(shí),總是覺得是一件頭痛的事情。因?yàn)樗麄儧]有找到捷徑,而是一個(gè)一個(gè)的輸入有規(guī)律的管腳號(hào)。如果是一個(gè)“BGA”器件,上百個(gè)PIN的輸入不但耗時(shí),而且有時(shí)難免會(huì)有手誤,從而造成將來(lái)元件的使用錯(cuò)誤。其實(shí),“CADENCE”

可以讓你快速輸入。選擇“Grid”選項(xiàng),在“ROW”中輸入“A-J”,在“COLUMN”中輸入“1-30”,然后點(diǎn)擊“Creat”你會(huì)看到!第19頁(yè)/共41頁(yè)接著剛才74LVT574:

將所有“Number”

一一對(duì)應(yīng)給“PinName”。如果有未使用的“pin”可以在“N”選項(xiàng)標(biāo)識(shí)表示是NC空腳。另外POWER類型可點(diǎn)擊右鍵復(fù)制。GOON第20頁(yè)/共41頁(yè)

封裝定義結(jié)束后,要給元件在原理圖中一個(gè)表示符號(hào)(Symbol):選擇“PartDeveloper”中的“Symbols”,右鍵選擇“NEW”。第21頁(yè)/共41頁(yè)

在對(duì)話框中高亮的三個(gè)選項(xiàng)中,經(jīng)常使用的為前兩項(xiàng)。選擇您所需要的形式進(jìn)行下一步,這里選擇“Number2”:隱藏電源和地管腳顯示電源和地管腳電源和地管腳單獨(dú)體現(xiàn)在另一個(gè)符號(hào)上第22頁(yè)/共41頁(yè)

如果想換一種封裝類型選擇“SpecifyPackType”這里,保持原來(lái)的封裝第23頁(yè)/共41頁(yè)

返回“PartDeveloper”打開“Symbols”的的分支可以看到已經(jīng)有一個(gè)“sym_1”產(chǎn)生了。第24頁(yè)/共41頁(yè)打開“sym_1”的的分支可以看到“pin”的信息。第25頁(yè)/共41頁(yè)

選擇PartDeveloper/TOOLS或直接選擇symbol/sym_1點(diǎn)擊右鍵來(lái)啟動(dòng)Concept-HDL查看symbol的形狀。

這里可以看到在symbolview

中各個(gè)pin的分布情況,有的pin的位置并不是很理想。當(dāng)然可以在Concept-HDL中直接移動(dòng)。但這種做法是不被提倡的,比較好的方法是返回到PartDeveloper中選擇symbols/sym_1右鍵后選擇Properties第26頁(yè)/共41頁(yè)

可以在這里編輯所有pin的位置。完成后再進(jìn)入concept-HDL中。第27頁(yè)/共41頁(yè)在concept-HDL中編輯“symbol”時(shí)要注意選擇Tools/options/grid

大家在作庫(kù)的時(shí)候應(yīng)該保持“GRID”的設(shè)置一致。將“SYMBOL”和“GRID”

的兩項(xiàng)設(shè)置統(tǒng)一為0.05(50mil)

和2(100mil)。這樣,在作原理圖時(shí)就不必再改變“GRID”,作出的原理圖就會(huì)清晰、規(guī)范。第28頁(yè)/共41頁(yè)下面就是要建一個(gè)part_table表了:從parttablefile右鍵新建part.ptf生成一個(gè)ptf表格在key和injected部分分別輸出相應(yīng)的屬性項(xiàng)。如:Vendor_part_numberVendorDescriptionJedec_typeAlt_symbolsPart_number等等。第29頁(yè)/共41頁(yè)在這頁(yè)表中填入各屬性的相應(yīng)的值,對(duì)于同一symbol對(duì)應(yīng)的不同器件直接在此表中加入一行就可以了。第30頁(yè)/共41頁(yè)

完成了“LogicalPin”,“Package”,“Symbols”的各項(xiàng)設(shè)定后,存盤,再選“TOOLS”下的“CHECKPLUS”,選中所有的項(xiàng),按“RUN”,在“ViewMarkers”

中看結(jié)果,如沒有錯(cuò)誤,一個(gè)元件庫(kù)(原理圖部分)就創(chuàng)建成功了。接下來(lái)要檢驗(yàn)?zāi)鶆?chuàng)建的元件是否可用。也就是說要進(jìn)行測(cè)試。那么測(cè)試方法是在Concept-HDL

中調(diào)用一個(gè)完成的元件(74LVT574)。存盤后打包(此時(shí)可將UpdateAllegroBoard選項(xiàng)關(guān)掉),然后在工程(Project)主界面上運(yùn)行“Layout”啟動(dòng)“Allegro”.“Allegro”啟動(dòng)后,首先在“BOARDGEOMETRY/OUTLINE”層上作一個(gè)“OUTLINE”,然后選擇FILE/IMPORT/LOGIC/Concept-HDL.接著選擇Place/Quickplace/Place/ok你將會(huì)看到該器件的封裝!第31頁(yè)/共41頁(yè)

上面就是建一個(gè)原理圖元件庫(kù)的基本過程.

實(shí)際上我們?cè)谑褂迷?kù)繪制原理圖,無(wú)非就是將設(shè)計(jì)者自己抽象的設(shè)計(jì)思路通過符號(hào)和連線對(duì)應(yīng)到實(shí)際器件和印制線路上去。那么,總結(jié)一下“CADENCE”提供給設(shè)計(jì)者庫(kù)的對(duì)應(yīng)基本有三種情況:(一).一對(duì)一(一個(gè)符號(hào)對(duì)應(yīng)一個(gè)封裝)

(二).多對(duì)一(一個(gè)封裝包括多個(gè)相同部分符號(hào))

(三).一對(duì)多(一個(gè)符號(hào)對(duì)應(yīng)多個(gè)封裝)所謂一對(duì)一就是上面我們所演示的情況.那么其余兩種情況也都是在基本的過程中稍有改變或添加設(shè)定.

第二種情況可以參照“96PINWXY”,第三種情況就是在“Package”的設(shè)定中增加一種封裝類型.

第32頁(yè)/共41頁(yè)

(二).多對(duì)一(一個(gè)封裝包括三個(gè)相同部分符號(hào))A1-A32第33頁(yè)/共41頁(yè)

(二).多對(duì)一(一個(gè)封裝包括三個(gè)相同部分符號(hào))A1-A32第34頁(yè)/共41頁(yè)

(三).一對(duì)多(一個(gè)符號(hào)對(duì)應(yīng)三個(gè)封裝)第35頁(yè)/共41頁(yè)

(三).一對(duì)多(一個(gè)符號(hào)對(duì)應(yīng)兩個(gè)封裝)第36頁(yè)/共41頁(yè)

其實(shí),現(xiàn)在創(chuàng)建原理圖庫(kù).還有一個(gè)更好的方法就是copy,因?yàn)楝F(xiàn)有的原理圖庫(kù)已經(jīng)包羅了很多種類,如果您想創(chuàng)建的元件與現(xiàn)有的某一個(gè)很相近(如元件的“pin”數(shù)或“pinname”大體相同),就可以“copy”或在“PartDeveloper”中“open/saveas”新的元件的命名,然后簡(jiǎn)單更改個(gè)別選項(xiàng)即可得到一個(gè)新的元件(原理圖庫(kù)).

還有,同樣的類型不同標(biāo)稱值的器件沒有必要再作一個(gè)器件,只要在該器件的“part.ptf”文件中添加一個(gè)新的“value”即可.

簡(jiǎn)捷方法第37頁(yè)/共41頁(yè)

幾點(diǎn)注意(一).在輸入“pinname”

或表示未使用“pin”時(shí),不要使用“nc”,因?yàn)槠湟驯弧癈ADENCE”占用.(二).在定義“pin”的位置時(shí),考慮一下將來(lái)器件的擺放方向,因?yàn)樽罱l(fā)現(xiàn)“Version14.1”好象有“bug”,在“Concept-HDL”中調(diào)用建好的庫(kù),當(dāng)你旋轉(zhuǎn)其時(shí),“text”不隨之旋轉(zhuǎn).(14.2已經(jīng)解決)(三).在SYM中,如各管腳有相同的名字,命名時(shí)要將它們區(qū)分開來(lái),比如“MPC860”有很多“GND”,命名時(shí),應(yīng)用“GND1,GND2,GND3……”將它們區(qū)分開來(lái),再在“PACKAGE”

中將其與各自的管腳數(shù)相對(duì)應(yīng)。

也可以輸入一個(gè)“GND”或“VCC”,然后在package/properties/physicalpinmapping選擇pin(GND)

右鍵點(diǎn)擊replicate,來(lái)定義多個(gè)pin為Gnd.將來(lái)在庫(kù)或原理圖中該元件的屬性中添加“

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論