OFDM系統(tǒng)中urbo編碼混合ARQ技術(shù)的和實現(xiàn)_第1頁
OFDM系統(tǒng)中urbo編碼混合ARQ技術(shù)的和實現(xiàn)_第2頁
OFDM系統(tǒng)中urbo編碼混合ARQ技術(shù)的和實現(xiàn)_第3頁
OFDM系統(tǒng)中urbo編碼混合ARQ技術(shù)的和實現(xiàn)_第4頁
OFDM系統(tǒng)中urbo編碼混合ARQ技術(shù)的和實現(xiàn)_第5頁
已閱讀5頁,還剩68頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

OFDM系統(tǒng)中Turbo編碼混合ARQ技術(shù)的研究和實現(xiàn)答辯人:劉偉峰指導老師:朱杰

1背景概述2課題背景高頻短波通信抗毀能力極強覆蓋范圍廣運行成本低機動靈活戰(zhàn)爭、自然災害、邊遠地區(qū)的主要通信方式3研究重點Turbo碼的原理、仿真和設計Matlab建模仿真矩陣推導MAP算法短幀Turbo碼的設計方法Turbo編碼混合自動重復請求方案現(xiàn)有方案的分析比較提出新穎的“分而治之Turbo編碼HARQ”方案系統(tǒng)模塊的DSP實現(xiàn)與優(yōu)化循環(huán)冗余校驗碼的快速實現(xiàn)Max-Log-MAP算法的實現(xiàn)與優(yōu)化4OFDM——抗多徑衰落的尖兵頻譜劃分成窄的平坦衰落子信道串并變換后,每個子信道上的符號速率下降,可以很好的對抗時延擴展把頻率和時間選擇性衰落的影響隨機化,有利于糾錯碼工作 一個頻率選擇性信道→多個非頻率選擇性信道5OFDM的Matlab實現(xiàn)6Turbo碼的原理、仿真和設計7Turbo碼——接近Shannon限的好碼編碼器由兩個遞歸系統(tǒng)卷積碼通過交織器級聯(lián)的方式結(jié)合而成,以較小的編譯碼復雜度,生成碼重分布優(yōu)良的長碼譯碼器采用迭代的方式,兩個分量譯碼器互相幫助,充分利用碼子的約束信息在短約束長度、長分組以及10到20次迭代的情況下,Turbo碼在誤碼率(BER)10e-5處距離Shannon限0.5dB左右8Turbo編碼器并行級聯(lián)卷積編碼器串行級聯(lián)卷積編碼器9Turbo解碼器并行級聯(lián)卷積譯碼器10軟輸輸入入軟軟輸輸出出分分量量譯譯碼碼器器對數(shù)數(shù)似似然然比比((LLR))Y是是觀觀測測,,uk是估估計計值值符號號表表示示0,,1比比特特,,幅幅度度表表示示可可靠靠程程度度11MAP算算法法想法法把比比特特的的概概率率估估計計轉(zhuǎn)轉(zhuǎn)化化為為狀狀態(tài)態(tài)轉(zhuǎn)轉(zhuǎn)移移的的概概率率估估計計把狀狀態(tài)態(tài)轉(zhuǎn)轉(zhuǎn)移移的的概概率率估估計計以以遞遞推推形形式式計計算算計算算三種種度度量量,,兩兩次次遞遞推推,,一一步步到到位位特點點((相相比比維維特特比比算算法法))復雜雜度度大大((乘乘法法,,除除法法,,指指數(shù)數(shù),,對對數(shù)數(shù)計計算算))卷積積譯譯碼碼無無優(yōu)優(yōu)勢勢可以以輸輸出出譯譯碼碼軟軟信信息息12MAP算算法法前向向度度量量后向向度度量量分支支度度量量前向向遞遞推推后向向遞遞推推后驗驗概概率率LLR13MAP算算法法的的計計算算流流程程計算算分分支支度度量量前向向遞遞推推計計算算前前向向度度量量后向向遞遞推推計計算算前前向向度度量量綜合合計計算算后后驗驗概概率率LLR14MAP算算法法的的矩矩陣陣表表示示前向向度度量量后向向度度量量分支支度度量量矩矩陣陣前向向遞遞推推后向向遞遞推推15MAP算算法法的的矩矩陣陣表表示示16MAP的的簡簡化化算算法法————Max-Log-MAP指數(shù)數(shù)運運算算和和乘乘法法運運算算的的噩噩夢夢變換換到到對對數(shù)數(shù)域域中中利用用近近似似公公式式17Max-Log-MAP算算法法簡化化前前向向遞遞推推簡化化后后向向遞遞推推支路路度度量量計計算算后驗驗概概率率計計算算18Log-MAP算算法法近似似導導致致性性能能損損失失引入入糾糾正正項項19串行行級級聯(lián)聯(lián)卷卷積積碼碼系系統(tǒng)統(tǒng)Matlab實實現(xiàn)現(xiàn)20并行行級級聯(lián)聯(lián)卷卷積積碼碼系系統(tǒng)統(tǒng)Matlab實實現(xiàn)現(xiàn)121并行行級級聯(lián)聯(lián)卷卷積積碼碼系系統(tǒng)統(tǒng)Matlab實實現(xiàn)現(xiàn)222Turbo碼碼仿仿真真1之之譯譯碼碼器器結(jié)結(jié)構(gòu)構(gòu)————迭迭代代次次數(shù)數(shù)23Turbo碼碼仿仿真真1之之譯譯碼碼器器結(jié)結(jié)構(gòu)構(gòu)————誤誤碼碼率率24短幀幀Turbo碼碼的的設設計計要要點點1譯碼碼器器結(jié)結(jié)構(gòu)構(gòu)的的選選擇擇::PCCC結(jié)結(jié)構(gòu)構(gòu)的的誤誤碼碼平平層層大大約約為為1e-5,,而而SCCC結(jié)結(jié)構(gòu)構(gòu)能能夠夠提提供供更更低低的的誤誤碼碼平平層層((大大約約1e-7)),,SCCC需需要要更更多多的的迭迭代代次次數(shù)數(shù)達達到到誤誤碼碼平平層層,,本本身身的的譯譯碼碼復復雜雜度度也也是是遠遠遠遠高高于于PCCC((內(nèi)內(nèi)編編碼碼器器是是4進進制制輸輸入入,,8進進制制輸輸出出,,格格形形圖圖上上有有16個個狀狀態(tài)態(tài),,每每個個狀狀態(tài)態(tài)出出發(fā)發(fā)有有4條條路路徑徑,,每每個個狀狀態(tài)態(tài)有有4條條路路徑徑交交匯匯))。。在在本本系系統(tǒng)統(tǒng)中中,,我我們們選選擇擇PCCC結(jié)結(jié)構(gòu)構(gòu)。。25Turbo碼仿仿真2之之分量碼碼——遞遞歸26Turbo碼仿仿真2之之分量碼碼——生生成多項項式27Turbo碼仿仿真2之之分量碼碼——約約束長度度28短幀Turbo碼的設設計要點點2分量碼的的選擇::分量碼碼必須是是遞歸形形式的,,遞歸形形式的分分量碼對對于Turbo碼減少少低碼重重碼子起起著十分分重要的的作用,,分量碼碼的生成成多項式式也起著著十分重重要的作作用,必必須優(yōu)化化設計,,分量碼碼的約束束長度對對于Turbo碼的作作用十分分有限,,增大分分量碼的的約束長長度導致致譯碼器器復雜度度的增加加。在本本系統(tǒng)中中,我們們推薦使使用poly2trellis(3,[75],7)分量量碼。29Turbo碼仿仿真3之之幀長30短幀Turbo碼的設設計要點點3幀長:對對于Turbo碼的性性能而言言,希望望幀長越越長越好好,雖然然幀長度度的增加加不會增增加單位位比特譯譯碼的復復雜度,,但是幀幀長直接接決定了了系統(tǒng)傳傳輸?shù)臅r時間延遲遲和譯碼碼存儲空空間,所所以幀長長度的選選擇必須須折中考考慮。一一般的對對于語音音系統(tǒng),,幀長為為200比特左左右,對對于視頻頻系統(tǒng),,幀長為為1000比特特左右。。本系統(tǒng)統(tǒng)中,我我們使用用256比特作作為幀的的長度。。31Turbo碼仿仿真4之之交織器器——SCCC32Turbo碼仿仿真4之之交織器器——PCCC33Turbo碼仿仿真4之之交織器器——奇奇偶分離離34短幀Turbo碼的設設計要點點4交織器::交織器器在Turbo碼系統(tǒng)統(tǒng)中也是是一個十十分重要要的組件件,相比比較差的的交織器器,良好好的交織織器可以以提供大大約0.2dB到1dB左右右的增益益,大量量的試驗驗證明,,一般的的隨機交交織可以以取得良良好的性性能,代代數(shù)交織織和隨機機交織的的性能相相當,但但是隨著著幀長的的變小,,隨機交交織的優(yōu)優(yōu)越性會會消失,,直至我我們必須須“刻意意”的設設計交織織器,才才能使Turbo碼正正常工作作。隨機機交織對對于幀長長度沒有有約束,,代數(shù)交交織器一一般對于于幀長有有著特殊殊的要求求,矩陣陣交織器器同樣要要求幀長長能夠分分解成兩兩個相近近數(shù)的乘乘積。所所有的交交織器都都可以通通過查表表的方式式完成。。本系統(tǒng)統(tǒng)中,我我們推薦薦使用隨隨機交織織。35Turbo碼仿仿真5之之譯碼算算法———簡化36Turbo碼仿仿真5之之譯碼算算法———量化比比特數(shù)37短幀Turbo碼的設設計要點點5譯碼算法法:Log-MAP算算法和MAP算算法相當當,Max-Log-MAP有大約約0.5dB的的性能損損失,MAP算算法復雜雜度最大大,Log-MAP和和Max-Log-MAP計計算量相相近,但但是Max-Log-MAP算法在在結(jié)構(gòu)上上最接近近維特比比算法,,容易在在DSP上快速速實現(xiàn)。。3比特特的量化化足夠,,但是在在高信噪噪比區(qū),,推薦6比特量量化。在在本系統(tǒng)統(tǒng)中,我我們使用用Max-Log-MAP算算法,6比特量量化。38Turbo碼仿仿真6之之打孔39短幀Turbo碼的設設計要點點6打孔:打打孔可以以提高碼碼率,但但是會帶帶來誤碼碼率方面面的性能能損失,,打孔的的選擇應應該基于于系統(tǒng)設設計要求求的考慮慮,沒有有孰優(yōu)孰孰劣的問問題。本本系統(tǒng)中中,我們們使用1/2碼碼率的Turbo碼,,打孔方方式取經(jīng)經(jīng)典方案案。40Turbo碼仿仿真7之之結(jié)尾41短幀Turbo碼的設設計要點點7結(jié)尾策略略:對于于幀長大大約1000比比特的系系統(tǒng),無無需考慮慮迫零處處理,當當幀長小小于50比特,,我們采采用方案案4迫零零處理。。42Turbo碼混混合ARQ系統(tǒng)統(tǒng)43Turbo編碼碼混合ARQ系系統(tǒng)44傳統(tǒng)HARQ分分類TypeIHARQ:數(shù)數(shù)據(jù)被加加以CRC并用用FEC編碼,,重傳時時,錯誤誤分組被被丟棄,,重傳分分組與前前一次相相同。TypeIIHARQ::考慮慮無線線信道道的時時變特特性,,在首首次傳傳輸數(shù)數(shù)據(jù)塊塊時沒沒有或或帶有有較少少的冗冗余,,如果果傳輸輸失敗敗,重重傳的的數(shù)據(jù)據(jù)塊不不是首首次所所傳數(shù)數(shù)據(jù)塊塊的復復制,,而是是增加加了其其中的的冗余余部分分。在在接收收端將將兩次次收到到的數(shù)數(shù)據(jù)塊塊進行行合并并,編編碼速速率下下降而而提高高編碼碼增益益。TypeIIIHARQ:與與第二二類HARQ不不同的的是重重傳碼碼字具具有自自解碼碼能力力,因因此接接收端端可以以直接接從重重傳碼碼字當當中解解碼恢恢復數(shù)數(shù)據(jù),,也可可以將將出錯錯重傳傳碼字字與已已有緩緩存的的碼字字進行行合并并后解解碼。。45Turbo碼HARQI型型我們用用ARQI型型廣義義的表表示發(fā)發(fā)送端端在重重發(fā)數(shù)數(shù)據(jù)分分組時時,不不生成成新的的碼子子,與與傳統(tǒng)統(tǒng)定義義不同同的是是,接接收端端不一一定丟丟棄首首發(fā)分分組,,完全全可以以利用用首發(fā)發(fā)的信信息,,增加加系統(tǒng)統(tǒng)的通通過率率。這種ARQ機制制的優(yōu)優(yōu)點是是系統(tǒng)統(tǒng)充分分利用用了硬硬件資資源,,編譯譯碼器器的結(jié)結(jié)構(gòu)和和控制制都比比較簡簡單,,有利利于系系統(tǒng)降降低復復雜性性和減減少功功耗。。46Turbo碼HARQI型型接接力棒棒式Turbo碼HARQ在發(fā)方方,首首先將將欲傳傳信息息經(jīng)Turbo編碼碼器編編碼后后發(fā)送送出去去,接接收端端經(jīng)過過Turbo譯譯碼,,如果果通過過CRC檢檢錯校校驗,,反饋饋ACK信信號回回發(fā)送送端,,如果果不能能通過過CRC檢檢錯校校驗,,則反反饋NACK信信號到到發(fā)送送端;;發(fā)送端端收到到重發(fā)發(fā)指令令,則則將該該信息息的原原先的的碼子子重新新發(fā)送送;在收方方,對對于重重發(fā)幀幀的譯譯碼,,可將將上一一幀的的譯碼碼結(jié)果果用作作先驗驗信息息,并并用于于Turbo譯譯碼器器進行行譯碼碼。如如果譯譯碼結(jié)結(jié)果通通過CRC檢錯錯校驗驗,反反饋ACK,否否則反反饋NACK;;重復第第2、、第3步,,直到到發(fā)送送端收收到ACK信號號,或或者達達到最最大的的重發(fā)發(fā)次數(shù)數(shù),放放棄此此次通通信。。47Turbo碼HARQII型我們用用ARQII型表表示發(fā)發(fā)送端端在重重發(fā)數(shù)數(shù)據(jù)分分組時時,生生成新新的校校驗信信息,,即所所謂的的增量量冗余余信息息,但但是新新的分分組沒沒有自自解碼碼性質(zhì)質(zhì)。ARQI型::簡單單的““重復復碼””,其其最小小碼距距是原原來的的L倍倍;實實際上上,通通過L次重重發(fā)可可以構(gòu)構(gòu)成糾糾錯能能力更更強的的糾錯錯碼。。這種ARQ機制制的優(yōu)優(yōu)點是是能夠夠充分分利用用重發(fā)發(fā)的分分組資資源,,糾錯錯能力力比I型更更強,,但是是系統(tǒng)統(tǒng)的編編譯碼碼硬件件設計計必須須以最最低碼碼率的的糾錯錯碼設設計,,而系系統(tǒng)一一般運運行在在較高高的碼碼率水水平上上,所所以不不能充充分利利用硬硬件資資源,,編譯譯碼器器的結(jié)結(jié)構(gòu)和和控制制相對對復雜雜。48Turbo碼HARQII型速速率率兼容容打孔孔Turbo碼碼HARQ發(fā)送端端生成成L*N比比特長長度的的Turbo碼碼,經(jīng)經(jīng)過打打孔形形成N比特特長度度分組組,發(fā)發(fā)送到到信道道,并并且保保存被被刪除除的其其他校校驗比比特;;接收端端接收收到分分組,,經(jīng)過過Turbo譯譯碼,,如果果通過過了CRC檢錯錯,發(fā)發(fā)送ACK信號號,否否則,,發(fā)送送NACK信號號;發(fā)送端端收到到NACK信號號,并并累計計重發(fā)發(fā)次數(shù)數(shù),發(fā)發(fā)送剩剩余的的相應應的N比特特校驗驗比特特;接收端端接收收到重重發(fā)分分組后后,與與首發(fā)發(fā)分組組組成成新的的碼子子,經(jīng)經(jīng)過Turbo譯碼碼,如如果通通過了了CRC檢檢錯,,發(fā)送送ACK信信號,,否則則,發(fā)發(fā)送NACK信信號;;發(fā)送端端收到到NACK信號號,并并累加加重發(fā)發(fā)次數(shù)數(shù),發(fā)發(fā)送剩剩余的的相應應的N比特特校驗驗比特特;接收端端接收收到重重發(fā)分分組后后,與與前兩兩次的的分組組組成成新碼碼子,,經(jīng)過過Turbo譯譯碼,,如果果通過過CRC檢檢錯,,發(fā)送送ACK信信號,,否則則,發(fā)發(fā)送NACK信信號;;重復上上述過過程,,直到到發(fā)送送端收收到ACK信號號,或或者重重發(fā)次次數(shù)達達到最最大的的L次次,放放棄本本次通通信。。49Turbo碼HARQII型Turbo碼碼分而而治之之HARQ基本思思想是是:假假設系系統(tǒng)是是1/2碼碼率的的Turbo碼碼,我我們的的編譯譯碼硬硬件設設計也也是按按照基基本的的1/2碼碼率的的Turbo碼碼來設設計,,當發(fā)發(fā)送端端被要要求重重發(fā)時時,我我們可可以把把信息息序列列分成成奇數(shù)數(shù)位和和偶數(shù)數(shù)位兩兩類,,奇數(shù)數(shù)位的的信息息比特特保持持不變變,但但是偶偶數(shù)位位的信信息比比特用用已知知的““01”序序列代代替,,然后后經(jīng)過過編碼碼器生生成碼碼子,,實際際上,,新生生成的的碼子子的有有效信信息比比特只只有原原來的的一半半,同同時,,碼率率也下下降了了一半半,這這也就就意味味著碼碼子有有著更更強的的糾錯錯能力力,在在接收收端,,譯碼碼器首首先對對重發(fā)發(fā)分組組進行行譯碼碼,運運用相相應的的先驗驗信息息,得得到關(guān)關(guān)于信信息序序列奇奇數(shù)位位比特特的可可靠信信息,,然后后把這這些信信息反反饋到到第一一個分分組的的譯碼碼器,,通過過奇數(shù)數(shù)位比比特的的可靠靠信息息來獲獲得的的正確確譯碼碼。如如果這這時候候,譯譯碼輸輸出仍仍然沒沒有通通過CRC校驗驗,那那么在在發(fā)送送端可可以把把偶數(shù)數(shù)位比比特信信息序序列按按奇偶偶分成成2段段,只只傳輸輸其中中1/4的的信息息比特特,其其他位位置用用已知知序列列填充充,以以此類類推,,最終終獲得得正確確譯碼碼。50分而治治之方方案的的性能能———誤幀幀率51分而治治之方方案的的性能能———通過過率52Turbo碼HARQIII型型ARQIII型表表示發(fā)發(fā)送端端在重重發(fā)數(shù)數(shù)據(jù)分分組時時,生生成新新的校校驗信信息,,同時時新的的分組組具有有自解解碼性性質(zhì)。。糾錯能能力和和譯碼碼復雜雜度都都介于于I型型和II型型之間間。與與II型類類似的的是系系統(tǒng)不不能充充分利利用硬硬件資資源,,編譯譯碼器器的結(jié)結(jié)構(gòu)和和控制制相對對復雜雜。53Turbo碼HARQIII型型多多維Turbo碼HARQTurbo碼本本身就就可以以構(gòu)成成一種種很好好的ARQ機制制,首首先,,利用用分量量碼1生成成碼子子1,,發(fā)送送到信信道,,如果果接收收端能能正確確接收收,那那么繼繼續(xù)發(fā)發(fā)送下下一幀幀數(shù)據(jù)據(jù),如如果不不能,,那么么經(jīng)過過交織織的信信息序序列利利用分分量碼碼2,,生成成碼子子2,,發(fā)送送到信信道,,譯碼碼器先先對碼碼子2進行行譯碼碼(可可以利利用第第一次次譯碼碼的結(jié)結(jié)果作作為先先驗信信息)),如如果譯譯碼成成功,,就反反饋ACK信號號,如如果失失敗,,那么么聯(lián)合合碼子子1和和碼子子2進進行Turbo迭代代譯碼碼,如如果譯譯碼成成功那那么就就反饋饋ACK,,如果果到了了預定定的迭迭代次次數(shù),,仍然然沒有有通過過CRC校校驗,,那么么反饋饋NACK信號號,發(fā)發(fā)送端端可以以進一一步利利用新新的交交織器器和新新的分分量碼碼,生生成碼碼子3,在在接收收端,,譯碼碼器先先利用用前次次譯碼碼結(jié)果果作為為先驗驗信息息,對對碼子子3進進行譯譯碼,,如果果成功功就反反饋ACK信號號,如如果失失敗,,那么么就把把3個個碼子子構(gòu)成成一個個3維維的Turbo碼,,進行行譯碼碼,以以此類類推,,直到到譯碼碼成功功。。54Turbo/HARQ系系統(tǒng)DSP實現(xiàn)現(xiàn)55BLACKFINDSP介介紹高度并并行的的計算算單元元數(shù)據(jù)總總線和和程序序總線線分離離的哈哈佛結(jié)結(jié)構(gòu)流水線線技術(shù)術(shù)獨立多多個乘乘加器器單元元高性能能地址址產(chǎn)生生器循環(huán)緩緩沖嵌套零零開銷銷循環(huán)環(huán)傳輸過過程中中飽和和和限限幅分層結(jié)結(jié)構(gòu)的的內(nèi)存存較少的的延遲遲縮短的的處理理空載載時間間56BLACKFINDSP程程序優(yōu)優(yōu)化特殊指指令的的使用用并行指指令的的使用用DSP硬件件資源源的合合理使使用數(shù)據(jù)在在內(nèi)存存中的的優(yōu)化化配置置流水線線沖突突57CRC算法法原理理k位二進進制數(shù)數(shù)據(jù)序序列r位二進進制校校驗碼碼n位二進進制序序列生成多多項式式滿足58字節(jié)序序列求求余的的遞推推算法法M字節(jié)節(jié)的序序列59CRC算法法在BLACKFINDSP上上的實實現(xiàn)三字節(jié)節(jié)序列列算法法為形如如[Da00]的的三字字節(jié)構(gòu)構(gòu)造一一個余余數(shù)表表。對對于M字節(jié)節(jié)序列列N,,讀取取前3個字字節(jié)數(shù)數(shù)據(jù)構(gòu)構(gòu)成最最初的的三字字節(jié)序序列[DaDbDi],,此時時i=3,然然后進進入如如下的的循環(huán)環(huán):根據(jù)Da查查表求求得[Da00]的余余數(shù)[RhRl];計算Db+Rh和Di+Rl,得得到新新的Da和和Db;判斷i是否否等于于M,,如果果相等等則循循環(huán)結(jié)結(jié)束,,得到到余數(shù)數(shù),否否則,,讀取取序列列N中中的下下個數(shù)數(shù)據(jù)字字節(jié)Di+1,得到到新的的三字字節(jié)序序列,,跳到到2。。3次總總線讀讀,2次異異或,,1次次加法法,1次移移位和和1次次寄存存器賦賦值60CRC算法法在BLACKFINDSP上上的優(yōu)優(yōu)化四字節(jié)節(jié)序列列算法法為形如如[Da000]的四四字節(jié)節(jié)和[Db00]的的三字字節(jié)構(gòu)構(gòu)造余余數(shù)表表。對對于M字節(jié)節(jié)序列列N,,讀取取前4個字字節(jié)數(shù)數(shù)據(jù)構(gòu)構(gòu)成最最初的的四字字節(jié)序序列[DaDbD2i-1D2i],此此時i=2,然然后進進入如如下的的循環(huán)環(huán):根據(jù)Da查查表求求得[Da000]的的余數(shù)數(shù)[RahRal];;根據(jù)Db查查表求求得[Db00]的的余數(shù)數(shù)[RbhRbl];;計算[D2i-1D2i]+[RahRal]+[RbhRbl],得得到新新的Da和和Db;判斷i是否否等于于[M/2],,如果果相等等則跳跳到6,否否則,,讀取取序列列N中中的下下一個個16位數(shù)數(shù)據(jù)[D2i+1D2i+2],得得到新新的四四字節(jié)節(jié)序列列[DaDbD2i+1D2i+2],跳跳到2。如果M是偶偶數(shù),,結(jié)束束得到到余數(shù)數(shù)[DaDb],,否則則對三三字節(jié)節(jié)序列列[DaDbDM]求余余得到到結(jié)果果。3次總總線讀讀,2次異異或,,2次次加法法,2次移移位和和4次次寄存存器賦賦值。。61CRC算法法優(yōu)化化結(jié)果果四字節(jié)節(jié)算法法相比比三字字節(jié)算算法,,平均均對每每個字字節(jié)的的操作作少了了1.5次次總線線讀,,1次次異或或,但但是多多了一一次寄寄存器器數(shù)據(jù)據(jù)搬移移測試表表明::效率率提高高33%62Max-Log-MAP算法法簡化前前向遞遞推簡化后后向遞遞推支路度度量計計算后驗概概率計計算63Max-Log-MAP在BLACKFINDSP上上實現(xiàn)現(xiàn)支路度度量的的計算算用AddonSign指令令完成成。遞推計算為為“加比選選”蝶形計計算,用VIT_MAX指令令完成,2次16位位的比較和和選擇64蝶形計算在在BLACKFINDSP上實現(xiàn)運算量占整整個譯碼器器的80%

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論