第章QuarusII使用流程XXXX_第1頁
第章QuarusII使用流程XXXX_第2頁
第章QuarusII使用流程XXXX_第3頁
第章QuarusII使用流程XXXX_第4頁
第章QuarusII使用流程XXXX_第5頁
已閱讀5頁,還剩33頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

EDA技術(shù)徐慧芳電子通信工程學(xué)院第3章QuartusII軟件安裝及使用

QuartusII設(shè)計(jì)流程一、創(chuàng)建工程

1.打開QuartusII軟件

QuartusII設(shè)計(jì)流程一、創(chuàng)建工程

2.打開建立新工程窗口:選擇File/NewProjectWizard圖4-3利用“NewPrejectWizard”創(chuàng)建工程cnt10“五統(tǒng)一”之“三統(tǒng)一”頂層實(shí)體名稱一定要更改路徑!?。uartusII設(shè)計(jì)流程一、創(chuàng)建工程

3.選擇路徑

工程所在文件夾工程名稱QuartusII設(shè)計(jì)流程一、創(chuàng)建工程

4.添加設(shè)計(jì)文件:將設(shè)計(jì)文件加入工程中。單擊“Next”,進(jìn)入添加文件的界面,如圖所示。如果有已經(jīng)建立好的VHDL或者原理圖等文件可以在Filename中選擇路徑然后添加,或者選擇AddAll添加所有可以添加的設(shè)計(jì)文件(.VHDL,.Verilog原理圖等)。如果沒有直接點(diǎn)擊“Next”,等建立好工程后再添加也可,這里我們暫不添加。

一、創(chuàng)建工程

4.選擇目標(biāo)芯片:Family選擇CycloneIII,Availabledevice選EP3C25F324C8(Packge選擇Any,PinCount選擇324,Speedgrade選擇8;可以縮小查找范圍,如圖3-4所示),點(diǎn)擊“Next”。QuartusII設(shè)計(jì)流程一、創(chuàng)建工程

5.工具設(shè)置:EDAToolSettings。QuartusII支持外部工具,可通過選中來指定工具的路徑。

如果都不作選擇,表示僅選擇QuartusII自含的所有設(shè)計(jì)工具。QuartusII設(shè)計(jì)流程一、創(chuàng)建工程

6.結(jié)束設(shè)置:單擊“Next”,彈出“工程設(shè)置統(tǒng)計(jì)”窗口,上面列出了工程的相關(guān)設(shè)置情況。最后單擊“Finish”,結(jié)束工程設(shè)置。

QuartusII設(shè)計(jì)流程QuartusII設(shè)計(jì)流程二、設(shè)計(jì)輸入(具體可以參考書上P53-P57)1.原理圖輸入

QuartusII設(shè)計(jì)流程二、設(shè)計(jì)輸入

1.原理圖輸入

“五統(tǒng)一”之“第四統(tǒng)一”QuartusII設(shè)計(jì)流流程二、設(shè)設(shè)計(jì)輸輸入2.文本輸輸入“五統(tǒng)統(tǒng)一””之““第四四統(tǒng)一一”二、設(shè)設(shè)計(jì)輸輸入2.文本輸輸入QuartusII設(shè)計(jì)流流程QuartusII設(shè)計(jì)流流程三、編編譯QuartusII設(shè)計(jì)流流程三、編編譯四、時時序仿仿真1.打開波波形編編輯器器QuartusII設(shè)計(jì)流流程波形編編輯器器QuartusII設(shè)計(jì)流流程四、時時序仿仿真2.設(shè)置仿仿真時時間區(qū)區(qū)域QuartusII設(shè)計(jì)流流程四、時時序仿仿真3.保存文文件QuartusII設(shè)計(jì)流流程File——>Saveas“五統(tǒng)統(tǒng)一””之““第五五統(tǒng)一一”四、時時序仿仿真4.向波形形編輯輯器拖拖入信信號節(jié)節(jié)點(diǎn)選選擇命命令QuartusII設(shè)計(jì)流流程QuartusII設(shè)計(jì)流流程四、時時序仿仿真4.向波形形編輯輯器拖拖入信信號節(jié)節(jié)點(diǎn)QuartusII設(shè)計(jì)流流程四、時時序仿仿真5.編輯輸輸入波波形QuartusII設(shè)計(jì)流流程四、時時序仿仿真6.總線數(shù)數(shù)據(jù)格格式設(shè)設(shè)置QuartusII設(shè)計(jì)流流程四、時時序仿仿真7.仿真器器參數(shù)數(shù)設(shè)置置QuartusII設(shè)計(jì)流流程四、時時序仿仿真8.啟動仿真器器QuartusII設(shè)計(jì)流流程四、時時序仿仿真9.仿真結(jié)結(jié)果QuartusII設(shè)計(jì)流流程四、時時序仿仿真(調(diào)整整波形形編輯輯器窗窗口))QuartusII設(shè)計(jì)流流程五、RTL分析QuartusII設(shè)計(jì)流流程六、引引腳鎖鎖定和和下載載引腳鎖鎖定(1)選擇擇命令令QuartusII設(shè)計(jì)流流程六、引引腳鎖鎖定和和下載載引腳鎖鎖定(2)選擇擇引腳腳命令令QuartusII設(shè)計(jì)流流程六、引引腳鎖鎖定和和下載載引腳鎖鎖定(3)輸入入引腳腳QuartusII設(shè)計(jì)流流程六、引引腳鎖鎖定和和下載載引腳鎖鎖定(4)分配配引腳腳QuartusII設(shè)計(jì)流流程六、引引腳鎖鎖定和和下載載2.全局編編譯QuartusII設(shè)計(jì)流流程七、編編程下下載1.打開編編輯窗窗口QuartusII設(shè)計(jì)流流程七、編編程下下載2.配置文文件QuartusII設(shè)計(jì)流流程

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論