數(shù)字鐘電路設(shè)計與調(diào)試_第1頁
數(shù)字鐘電路設(shè)計與調(diào)試_第2頁
數(shù)字鐘電路設(shè)計與調(diào)試_第3頁
數(shù)字鐘電路設(shè)計與調(diào)試_第4頁
數(shù)字鐘電路設(shè)計與調(diào)試_第5頁
已閱讀5頁,還剩171頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

學習情境3

數(shù)字鐘電路設(shè)計與調(diào)試工作過程資訊決策計劃實施檢查評價資訊(續(xù)前)組合邏輯電路中的競爭與冒險

競爭冒險現(xiàn)象及其危害門電路兩個輸入端信號同時向相反的邏輯電平跳變(一個從0跳1,另一個從1跳0)的現(xiàn)象稱為競爭。邏輯門因輸入端的競爭而導(dǎo)致輸出產(chǎn)生不應(yīng)有的尖峰干擾脈沖的現(xiàn)象,稱為冒險??赡軐?dǎo)致錯誤動作競爭冒險的產(chǎn)生原因負尖峰脈沖冒險舉例可見,在組合邏輯電路中,當一個門電路(如G2)輸入兩個向相反方向變化的互補信號時,則在輸出端可能會產(chǎn)生尖峰干擾脈沖。正尖峰脈沖冒險舉例G2G1AYY=A+AA理想考慮門延時AY11AY1tpdG2G1AYY=A·AA理想考慮門延時Y0AAY1tpd資訊(續(xù)前)競爭冒險的產(chǎn)生原因資訊(續(xù)前)傳輸延遲競爭冒險的識別方法1、代數(shù)法判斷

只要輸出函數(shù)在一定條件下能出現(xiàn)或

的形式,就可判定該電路存在競爭-冒險。Y=A+AY=A·A資訊(續(xù)前)2、實驗法判斷

在輸入端加入所有可能發(fā)生的狀態(tài)變化的波形,觀察輸出端是否有尖峰脈沖。

3、計算機輔助分析判斷

4、用卡諾圖法判斷

凡是函數(shù)卡諾圖中存在相切而不相鏈(相交)的包圍圈的邏輯函數(shù)都存在競爭冒險現(xiàn)象。

競爭冒險的識別方法資訊(續(xù)前)1.加封鎖脈沖2.加選通脈沖競爭冒險的消除方法資訊(續(xù)前)由于尖峰干擾脈沖的寬度很窄,在可能產(chǎn)生尖峰干擾脈沖的門電路輸出端與地之間接入一個容量為幾十皮法的電容就可吸收掉尖峰干擾脈沖。3.修改邏輯設(shè)計4.接入濾波電容競爭冒險的消除方法資訊(續(xù)前)資訊簡易水箱水位控制電路a電極控制出水b電極控制進水資訊一位八進制計數(shù)器資訊一位八進制計數(shù)器記憶資訊秒發(fā)生器計數(shù)時間?資訊數(shù)字鐘時間設(shè)定計時鬧鐘資訊數(shù)字鐘特點不同時間顯示不同的信息信息的預(yù)先確定性輸出信號有序排列輸出狀態(tài)與信號輸出前電路的狀態(tài)有關(guān)資訊資訊基本RS觸發(fā)器應(yīng)用基本RS觸發(fā)器輸入輸出反相有什么邏輯功能?結(jié)論:觸發(fā)器的輸出與輸出端原狀態(tài)有關(guān)資訊基本RS觸發(fā)器應(yīng)用基本RS觸發(fā)器原狀態(tài)原狀態(tài)現(xiàn)狀態(tài)現(xiàn)狀態(tài)111010110101101010100110011001010101001011000111不變置1置011101011010101XX0110XX1000XX不定態(tài)狀態(tài)的保持復(fù)位作用置位作用資訊基本RS觸發(fā)器應(yīng)用資訊基本RS觸發(fā)器基本RS觸發(fā)器應(yīng)用:復(fù)位端:置位端負脈沖的持續(xù)時間大于兩個門的傳輸延遲時間資訊基本RS觸發(fā)器應(yīng)用用時序圖(波形圖)表示10111110000011111111000111不定實施觸發(fā)器功能測試——示波器的使用提供芯片:CD4011或74LS00發(fā)光二極管電路開關(guān)電路輸入信號低電平有效,開關(guān)初態(tài)?實施觸發(fā)器功能測試——示波器的使用觀察“置位端”和“復(fù)位端”分別有效時的輸出狀態(tài);

輸入控制信號之間應(yīng)有穩(wěn)態(tài)隔開,即“01→11”,

“10→11”;觀察并分析輸入端同時有效時的現(xiàn)象。測試要素實施觸發(fā)器功能測試——示波器的使用UT2062CETDS1000實施觸發(fā)器功能測試——示波器的使用初步印象:這是個什么類型的信號?正弦波、方波還是脈沖波?波形的幅值;隨著時間變化波形所產(chǎn)生的周期變化。利用示波器我們想知道什么?實施觸發(fā)器功能測試——示波器的使用“X軸”“Y軸”實施觸發(fā)器功能測試——示波器的使用探頭衰減倍率開關(guān)硬件開關(guān)軟件開關(guān)輸入連接器實施觸發(fā)器功能測試——示波器的使用垂直位置和刻度;水平位置和刻度。實施觸發(fā)器功能測試——示波器的使用垂直位置和刻度;水平位置和刻度。實施觸發(fā)器功能測試——示波器的使用信號耦合方式000實施觸發(fā)器功能測試——示波器的使用反相模式實施觸發(fā)器功能測試——示波器的使用單路信號測試實施觸發(fā)器功能測試——示波器的使用雙路信號測試實施觸發(fā)器功能測試——示波器的使用注意:如何調(diào)整獲得最佳讀取狀態(tài)?如何獲得有效信號?垂直刻度:峰峰值的讀??;水平刻度:周期值的讀??;觸發(fā)模式:“自動AUTO”觸發(fā)讀取方式:人工、光標、自動測量實施觸發(fā)器功能測試——示波器的使用觀察要求:改變垂直刻度,讀取不同刻度狀態(tài)輸出端電壓值;鎖定波形,改變水平刻度,讀取時基值;嘗試繪制波形圖:坐標軸、刻度、刻度單位、數(shù)據(jù)點、波形。實施觸發(fā)器功能測試——示波器的使用嘗試繪制波形圖:坐標軸、刻度、刻度單位、數(shù)據(jù)點、波形。

有兩個穩(wěn)定狀態(tài)(簡稱穩(wěn)態(tài)):用來表示邏輯

0

1。在輸入信號作用下,觸發(fā)器的兩個穩(wěn)定狀態(tài)可相互轉(zhuǎn)換(稱為狀態(tài)的翻轉(zhuǎn))。輸入信號消失后,新狀態(tài)可長期保持下來,因此具有記憶功能,可存儲二進制信息。一個觸發(fā)器可存儲1位二進制數(shù)碼

結(jié)論:觸發(fā)器的基本特性實施或非門構(gòu)成的基本RS觸發(fā)器電路結(jié)構(gòu):輸入端為高電平有效.能做出真值表嗎?資訊

輸入信號S

R

輸出狀態(tài)Q功能說明00011011不變0110不定

保持置0

置1

失效或非門組成的基本RS觸發(fā)器的真值表資訊基本RS觸發(fā)器的優(yōu)缺點優(yōu)點缺點電路簡單,是構(gòu)成各種觸發(fā)器的基礎(chǔ)。(1).輸出受輸入信號直接控制,不能定時控制。(2).有約束條件。資訊資訊觸發(fā)器應(yīng)用水箱水位控制電路資訊觸發(fā)器應(yīng)用無抖動開關(guān)實施小車定速自動往返運動系統(tǒng)行程開關(guān)觸點RS觸發(fā)器電機功率輸出實施實施關(guān)注共地問題關(guān)注利用發(fā)光二極管指示電路工作狀態(tài)的特點理解使用萬用表進行電路故障查找的方法小車定速自動往返運動系統(tǒng)決策分析觸發(fā)器應(yīng)用八位搶答器電路數(shù)據(jù)的鎖存CD4532優(yōu)先編碼器功能表狀態(tài)標志:輸出有效資訊輸出使能端輸入使能端

輸入

輸出顯示RBIENRBOXxx0xxxx△11111118Xx01xxxx△0000000101100001000000000110000011111100X0110001001100001X0110010011011012X0110011011110013X0110100001100114X0110101010110115X0110110010111116X0110111011100007X0111000011111118X0111001011110119X0111010000000000

S0111111X111xxxx△xxxxxxx*4513

功能表燈測試端消隱端滅零輸入端滅零輸出端RS1實施PPT制作要求制作要素:技術(shù)指標、框圖、設(shè)計思路、調(diào)試總結(jié)制作注意事項:每頁標題不可少;文字不在多,在精煉、歸納;字體不要太?。槐尘安町惒灰?;關(guān)注要點,略做美化。實施八位搶答器制作調(diào)試元器件清單開關(guān)電路調(diào)整電路接線圖如果你的電路對每個狀態(tài)的出現(xiàn)沒有時間的要求,用RS觸發(fā)器可以實現(xiàn)功能,如果系統(tǒng)要求輸出按一定的時間周期執(zhí)行,怎么辦?時鐘觸發(fā)器資訊1、電路組成及邏輯符號由基本RS觸發(fā)器和用來引入R、S及時鐘脈沖CP的兩個與非門而構(gòu)成邏輯功能?資訊同步RS觸發(fā)器真值表(特性表)符號圖時序圖(波形圖)特性方程狀態(tài)轉(zhuǎn)換圖(或轉(zhuǎn)換表)資訊同步RS觸發(fā)器同步RS

觸發(fā)器只能在CP=1到來時狀態(tài)才能翻轉(zhuǎn)

輸入SR初態(tài)次態(tài)功能說明00000101

保持01010100

置010100111

置1111101不定不定

不定(1)真值表資訊同步RS觸發(fā)器是指不允許將R和S同時取為1,所以稱為約束條件。(3)狀態(tài)轉(zhuǎn)換圖將觸發(fā)器兩個穩(wěn)態(tài)0和1用兩個圓圈表示,用箭頭表示由現(xiàn)態(tài)到次態(tài)的轉(zhuǎn)換方向,在箭頭旁邊用文字符號及其相應(yīng)信號表示實現(xiàn)轉(zhuǎn)換所必備的輸入條件,這種圖稱為狀態(tài)轉(zhuǎn)換圖。(2)卡諾圖與特性方程(約束條件)

將作為輸出變量,把S、R和作為輸入變量填入卡諾圖,經(jīng)化簡得特性方程資訊同步RS觸發(fā)器的空翻問題給時序邏輯電路加時鐘脈沖的目的是統(tǒng)一電路動作的節(jié)拍。對觸發(fā)器而言,在一個時鐘脈沖作用下,要求觸發(fā)器的狀態(tài)只能翻轉(zhuǎn)一次。而同步RS觸發(fā)器在一個時鐘脈沖作用下,觸發(fā)器的狀態(tài)可能發(fā)生兩次或兩次以上的翻轉(zhuǎn),這種現(xiàn)象稱為空翻。出現(xiàn)空翻現(xiàn)象有以下兩種情況:(1)在CP=1期間,如果輸入端的信號R和S再有變化,可能引起輸出端Q翻轉(zhuǎn)兩次或兩次以上。如圖所示,保證在CP=1期間只變化一次,則要求在CP=1期間不允許R和S的輸入信號發(fā)生變化。資訊01010101110(2)當同步RS觸發(fā)器接成計數(shù)狀態(tài)時,容易發(fā)生空翻。設(shè)Q=0,當CP=1脈沖到來時,G4輸出0,G2輸出1,Q由0變?yōu)?。如果CP=1繼續(xù)保持,G3輸出0,引起由0變以1,而Q由1變以0。此時出現(xiàn)空翻。一般不允許在CP=1時改變R、S的狀態(tài)資訊10011101資訊同步D觸發(fā)器——約束條件的解除1、邏輯符號2、真值表資訊同步D觸發(fā)器——約束條件的解除3、特征方程4、狀態(tài)轉(zhuǎn)換圖能否避免不定狀態(tài)?資訊同步D觸發(fā)器——約束條件的解除1、CD4013邏輯符號和管腳圖資訊上升沿觸發(fā)型D觸發(fā)器2、真值表3、特征方程4、狀態(tài)轉(zhuǎn)換圖資訊如圖所示為74LS74雙D觸發(fā)器管腳圖,分析一下它的功能,和4013有什么區(qū)別?資訊例:已知上升沿D觸發(fā)器輸入CP和D信號的波形(已知),如圖所示,試畫出輸出端Q和的波形。資訊1、74LS112邏輯符號和管腳圖資訊下降沿觸發(fā)型JK觸發(fā)器CPJK

功能說明00000101

保持01010100

置010100111

置111110110

翻轉(zhuǎn)2、真值表資訊下降沿觸發(fā)型JK觸發(fā)器當J=K=0時,;J與K相反時,狀態(tài)隨J;J=K=1時,。即0、0不變;0、1出0;1、0出1;1、1翻轉(zhuǎn)。4、JK觸發(fā)器的狀態(tài)轉(zhuǎn)換圖和激勵表JK000110110×1××1×03、特性方程資訊能推導(dǎo)RS觸發(fā)器的激勵表嗎?

例對負邊沿JK觸發(fā)器加輸入信號CP、J、K波形如圖所示,圖中J端存在窄干擾脈沖,試畫出輸出端Q的波形。Q=0,0、0不變,0、1出0;1、0出1。1、1翻轉(zhuǎn)。不變不變資訊實施邊沿觸發(fā)型觸發(fā)器功能驗證芯片:CD4013、74LS112檢驗芯片的異步置位、復(fù)位功能,檢驗邊沿觸發(fā)功能,理解D觸發(fā)器和JK觸發(fā)器的工作特點;利用示波器觀察邊沿觸發(fā)工作方式并記錄波形。CP的順序

現(xiàn)態(tài)

次態(tài)Q2Q1Q0Q2Q1Q01

2

3

4

5

6

7

8000001

010

011

100101110

111001

010

011

100

101

110

111

000資訊八進制計數(shù)器計數(shù)狀態(tài)表資訊八進制計數(shù)器能夠設(shè)計十六進制計數(shù)器嗎?實施八進制計數(shù)器芯片:74LS112輸出接譯碼顯示器,直觀了解計數(shù)效果。資訊T觸發(fā)器和T‘觸發(fā)器T和T’觸發(fā)器的邏輯功能

T和T’觸發(fā)器是一種可控翻轉(zhuǎn)觸發(fā)器。在CP的作用下,根據(jù)輸入信號T情況的不同,決定觸發(fā)器是否翻轉(zhuǎn)。當T=0時,CP作用沿到來觸發(fā)器并不翻轉(zhuǎn),保持原狀態(tài);當T=1時,CP作用沿到來,觸發(fā)器將發(fā)生翻轉(zhuǎn)。

T’觸發(fā)器:CP作用沿到來時,其狀態(tài)一定發(fā)生翻轉(zhuǎn),所以其功能就是令T=1的T觸發(fā)器。T和T’觸發(fā)器無獨立產(chǎn)品。資訊T觸發(fā)器的制作1、特征方程2、真值表如何實現(xiàn)?資訊T觸發(fā)器的制作資訊T觸發(fā)器的制作資訊T觸發(fā)器的制作資訊T觸發(fā)器的制作資訊T‘觸發(fā)器的制作10Qn+110DQn+1=

DQnQnQn+110T不定01

QnQn+111011000SRQn+1=S

+

RQnRS

=

0(約束條件)Qn10

QnQn+111011000KJQn+1=JQn+

KQn只有CP輸入端,無數(shù)據(jù)輸入端。來一個CP翻轉(zhuǎn)一次Qn+1=

QnD

觸發(fā)器T

觸發(fā)器RS

觸發(fā)器JK

觸發(fā)器T′觸發(fā)器觸發(fā)器根據(jù)邏輯功能不同分為資訊[例]試分析圖示電路的邏輯功能,F(xiàn)F1、FF2和FF3為下降沿觸發(fā)的JK觸發(fā)器,輸入端懸空時相當于邏輯1狀.

解:這是時鐘CP下降沿觸發(fā)的同步時序電路分析如下:1.寫方程式(1)根據(jù)給定的邏輯圖寫出驅(qū)動方程1J1K資訊1.寫方程式(1)根據(jù)給定的邏輯圖寫出驅(qū)動方程(2)將上式的驅(qū)動方程代入特性方程中去,可得到狀態(tài)方程:(3)輸出方程資訊2、列狀態(tài)轉(zhuǎn)換表CP的順序

初態(tài)

次態(tài)

輸出

Y0

1

2

3

4

5

6

7000001

010

011

100101110

000001

010

011

100

101

110

000

0010

0

0

0

0

0

1

00

1111000000

0011

0資訊3.畫狀態(tài)轉(zhuǎn)換圖資訊4.畫時序圖必須畫出一個計數(shù)周期的波形1234567七進制計數(shù)器資訊資訊寄存器和移位寄存器四位數(shù)據(jù)并行輸入、并行輸出——寄存器應(yīng)用1010數(shù)據(jù)等候無脈沖1010有脈沖1010數(shù)據(jù)傳輸資訊寄存器和移位寄存器四位數(shù)據(jù)并行輸入、并行輸出——寄存器應(yīng)用資訊寄存器和移位寄存器四位數(shù)據(jù)并行輸入、并行輸出——寄存器應(yīng)用74HC17574HC175資訊寄存器和移位寄存器四位數(shù)據(jù)并行輸入、并行輸出——寄存器應(yīng)用在控制信號作用下,可實現(xiàn)右移也可實現(xiàn)左移。雙向移位寄存器單向移位寄存器

左移寄存器

右移寄存器每輸入一個移位脈沖,移位寄存器中的數(shù)碼依次向右移動1位。每輸入一個移位脈沖,移位寄存器中的數(shù)碼依次向左移動1位。用于存放數(shù)碼和使數(shù)碼根據(jù)需要向左或向右移位。資訊寄存器和移位寄存器移位寄存器資訊寄存器和移位寄存器

彩燈控制——移位寄存器應(yīng)用(a)無脈沖寄存器單元0寄存器單元1寄存器單元2寄存器單元3“10110101”CP(b)第1個脈沖寄存器單元0寄存器單元1寄存器單元2寄存器單元3“1011010”CP11寄存器單元0寄存器單元1寄存器單元2寄存器單元3“101101”CP10(c)第2個脈沖10資訊寄存器和移位寄存器

彩燈控制——移位寄存器應(yīng)用(d)第3個脈沖寄存器單元0寄存器單元1寄存器單元2寄存器單元3“10110”CP11寄存器單元0寄存器單元1寄存器單元2寄存器單元3“1011”CP10(e)第4個脈沖1000111100寄存器單元0寄存器單元1寄存器單元2寄存器單元3“XXX1”CP10(e)第7個脈沖100010111資訊寄存器和移位寄存器

彩燈控制——移位寄存器應(yīng)用資訊寄存器和移位寄存器

彩燈控制——移位寄存器應(yīng)用

設(shè)計方案1:用D觸發(fā)器實現(xiàn)數(shù)據(jù)單向移位資訊寄存器和移位寄存器

彩燈控制——移位寄存器應(yīng)用

設(shè)計方案1:用D觸發(fā)器實現(xiàn)數(shù)據(jù)單向移位資訊寄存器和移位寄存器

彩燈控制——移位寄存器應(yīng)用

設(shè)計方案2:用JK觸發(fā)器實現(xiàn)數(shù)據(jù)單向移位資訊寄存器和移位寄存器

彩燈控制——移位寄存器應(yīng)用雙向移位寄存器74LS194CRCRDSLDSRCPCT74LS194Q0Q1Q2Q3M1M0D0D1D2D3Q3Q2Q1Q0SRSLM1M0D3D2D1D0移位脈沖輸入端右移串行數(shù)碼輸入端并行數(shù)碼輸入端左移串行數(shù)碼輸入端

工作方式控制端M1M0=00時,保持功能。M1M0=01時,右移功能。M1M0=10時,左移功能。M1M0=11時,并行存入功能。并行數(shù)據(jù)輸出端,從高位到低位依次為Q3~Q0。異步置0端低電平有效資訊寄存器和移位寄存器

彩燈控制——移位寄存器應(yīng)用雙向移位寄存器74LS194×d0000×保持××××××01左移輸入00Q3Q2Q1×××××11左移輸入11Q3Q2Q1××××1011右移輸入0Q2Q1Q00××××0×101右移輸入1Q2Q1Q01××××1×101并行置數(shù)d3d2d1d0d3d2d1××111保持××××××0××1置零0000×××××××××0Q3Q2Q1Q0D3D2D1D0DSRDSLCPM0M1CR說明輸出輸入資訊寄存器和移位寄存器

彩燈控制——移位寄存器應(yīng)用雙向移位寄存器74LS194資訊寄存器和移位寄存器

彩燈控制——移位寄存器應(yīng)用實施彩燈控制器調(diào)試搭建電路,觀察發(fā)光二極管工作效果;改變并行數(shù)碼輸入端信號,歸納結(jié)論。問題:“右移”改“左移”,如何改線?若要求輸出“1010-0101”序列信號,如何實現(xiàn)?同步時序邏輯電路任意時刻電路的輸出信號不僅取決于當時的輸入信號,而且還取決于電路原來的狀態(tài),或者說還與以前的輸入有關(guān),這樣的電路稱為時序電路。資訊資訊時序邏輯電路分析同步時序邏輯電路分析“同步”和“異步”有什么區(qū)別?同步:所有存儲單元狀態(tài)的變化都在同一時鐘信號操作下同時發(fā)生異步:存儲單元狀態(tài)的變化不是同時發(fā)生的在異步時序電路中,可能有一部分電路有公共的時鐘信號,也可能完全沒有公共的時鐘信號。資訊時序邏輯電路分析同步時序邏輯電路分析列出驅(qū)動方程代入特性方程求出輸出方程資訊時序邏輯電路分析同步時序邏輯電路分析資訊時序邏輯電路分析同步時序邏輯電路分析資訊時序邏輯電路分析同步時序邏輯電路分析自啟動狀態(tài)資訊時序邏輯電路分析異步時序邏輯電路分析資訊時序邏輯電路分析異步時序邏輯電路分析列出驅(qū)動方程代入特性方程資訊時序邏輯電路分析異步時序邏輯電路分析列出時鐘方程資訊時序邏輯電路分析異步時序邏輯電路分析從初態(tài)0000開始計算次態(tài)十進制異步計數(shù)器資訊時序邏輯電路分析異步時序邏輯電路分析資訊時序邏輯電路分析異步時序邏輯電路分析資訊時序邏輯電路分析時序邏輯電路分析步驟根據(jù)給定邏輯電路圖寫出驅(qū)動方程,即觸發(fā)器輸入信號的邏輯函數(shù)式,對異步時序電路還需列出CP時鐘方程。把驅(qū)動方程代入觸發(fā)器的特性方程,求出各觸發(fā)器及其他電路的輸出方程。進行計算,列出狀態(tài)轉(zhuǎn)換表,或畫出時序圖和狀態(tài)轉(zhuǎn)換圖,確定電路的邏輯功能。計數(shù)器(Counter)用于計算輸入脈沖個數(shù),還常用于分頻、定時及進行數(shù)字運算等。按時鐘控制方式不同分異步計數(shù)器同步計數(shù)器同步計數(shù)器比異步計數(shù)器的速度快得多。資訊計數(shù)器分析與設(shè)計按計數(shù)器功能分加法計數(shù)器減法計數(shù)器加/減計數(shù)器(又稱可逆計數(shù)器)對計數(shù)脈沖作遞增計數(shù)的電路。對計數(shù)脈沖作遞減計數(shù)的電路。在加/減控制信號作用下,可遞增也可遞減計數(shù)的電路。按計數(shù)進制分按二進制數(shù)運算規(guī)律進行計數(shù)的電路按十進制數(shù)運算規(guī)律進行計數(shù)的電路

二進制計數(shù)器十進制計數(shù)器任意進制計數(shù)器(又稱N進制計數(shù)器)二進制和十進制以外的計數(shù)器資訊計數(shù)器分析與設(shè)計資訊計數(shù)器分析與設(shè)計三位異步二進制減法計數(shù)器的設(shè)計列出計數(shù)狀態(tài)表觀察法資訊計數(shù)器分析與設(shè)計三位異步二進制減法計數(shù)器的設(shè)計選用JK觸發(fā)器如何設(shè)計?資訊計數(shù)器分析與設(shè)計三位異步二進制減法計數(shù)器的設(shè)計?是否能夠設(shè)計四位或五位異步二進制減法計數(shù)器

下面總結(jié)一下用不同種類觸發(fā)器構(gòu)成異步二進制計數(shù)器的方法。CPi

=Qi-1CPi=Qi-1減法計數(shù)CPi=Qi-1CPi

=Qi-1加法計數(shù)下降沿觸發(fā)式上升沿觸發(fā)式計數(shù)觸發(fā)器的觸發(fā)信號接法計數(shù)規(guī)律將觸發(fā)器接成計數(shù)觸發(fā)器,然后級聯(lián),將計數(shù)脈沖CP從最低位時鐘端輸入,其他各位時鐘端接法如下表:資訊計數(shù)器分析與設(shè)計試試看?三位異步二進制計數(shù)器的設(shè)計計數(shù)器為什么能用作分頻器?怎么用?

模M

計數(shù)器也是一個M

分頻器,M

分頻器的輸出信號即為計數(shù)器最高位的輸出信號。CPQ3Q0Q1Q24位二進制加法計數(shù)器工作波形

資訊計數(shù)器分析與設(shè)計三位異步二進制減法計數(shù)器的設(shè)計尋找異步觸發(fā)的觸發(fā)脈沖Q0:CPQ1:Q0的上升沿Q2:Q1的上升沿資訊計數(shù)器分析與設(shè)計異步十進制加法計數(shù)器的設(shè)計計數(shù)狀態(tài)表觸發(fā)脈沖選擇原則邊沿的跳變應(yīng)覆蓋狀態(tài)變化,且狀態(tài)翻轉(zhuǎn)次數(shù)最接近為宜如:Q0的脈沖應(yīng)選CP;Q1的脈沖應(yīng)選Q0

;Q2的脈沖可選Q0或Q1,但Q1更合適;Q3的脈沖只能選Q0。資訊計數(shù)器分析與設(shè)計異步十進制加法計數(shù)器的設(shè)計資訊計數(shù)器分析與設(shè)計異步十進制加法計數(shù)器的設(shè)計資訊計數(shù)器分析與設(shè)計異步十進制加法計數(shù)器的設(shè)計資訊計數(shù)器分析與設(shè)計同步二進制加法計數(shù)器的設(shè)計狀態(tài)表資訊計數(shù)器分析與設(shè)計同步二進制加法計數(shù)器的設(shè)計你能設(shè)計一個四位二進制加法計數(shù)器嗎?資訊計數(shù)器分析與設(shè)計同步二進制減法計數(shù)器的設(shè)計狀態(tài)表資訊計數(shù)器分析與設(shè)計同步二進制減法計數(shù)器的設(shè)計資訊計數(shù)器分析與設(shè)計周期性特殊時序電路的設(shè)計列狀態(tài)轉(zhuǎn)換表資訊計數(shù)器分析與設(shè)計周期性特殊時序電路的設(shè)計狀態(tài)轉(zhuǎn)換表任一次態(tài)均與四個初態(tài)有關(guān),以此進行卡諾圖化簡資訊計數(shù)器分析與設(shè)計周期性特殊時序電路的設(shè)計資訊計數(shù)器分析與設(shè)計周期性特殊時序電路的設(shè)計資訊計數(shù)器分析與設(shè)計周期性特殊時序電路的設(shè)計資訊計數(shù)器分析與設(shè)計周期性特殊時序電路的設(shè)計資訊計數(shù)器分析與設(shè)計周期性特殊時序電路的設(shè)計資訊計數(shù)器分析與設(shè)計周期性特殊時序電路的設(shè)計資訊計數(shù)器分析與設(shè)計周期性特殊時序電路的設(shè)計Q4Q3Q2Q11110101100001000000101110100101010010011111111000010110101010110資訊計數(shù)器分析與設(shè)計百進制計數(shù)器的設(shè)計——集成異步計數(shù)器應(yīng)用可預(yù)置的二—五—十進制異步加法計數(shù)器74LS196計數(shù)/置數(shù)資訊計數(shù)器分析與設(shè)計百進制計數(shù)器的設(shè)計——集成異步計數(shù)器應(yīng)用74LS196計數(shù)器功能表資訊計數(shù)器分析與設(shè)計百進制計數(shù)器的設(shè)計——集成異步計數(shù)器應(yīng)用74LS196的8421計數(shù)方式資訊計數(shù)器分析與設(shè)計百進制計數(shù)器的設(shè)計——集成異步計數(shù)器應(yīng)用74LS196的5421計數(shù)方式如何進行百進制計數(shù)器的設(shè)計?資訊計數(shù)器分析與設(shè)計百進制計數(shù)器的設(shè)計——集成異步計數(shù)器應(yīng)用74LS196如何進行計數(shù)器顯示?如何計數(shù)0~999?資訊計數(shù)器分析與設(shè)計十二進制加法計數(shù)器的設(shè)計——可預(yù)置同步二進制加法計數(shù)器應(yīng)用74LS163計數(shù)允許控制端資訊計數(shù)器分析與設(shè)計十二進制加法計數(shù)器的設(shè)計——可預(yù)置同步二進制加法計數(shù)器應(yīng)用74LS163功能表序號輸入輸出清零CR

使能CTPCTT

置數(shù)LD時鐘CP并行輸入D0D1D2D3Q0Q1Q2Q31234501111XXXX110XX0X0111

XXXXXXd0d1d2d3XXXXXXXXXXXX0000d0d1d2d3

計數(shù)保持保持

資訊計數(shù)器分析與設(shè)計十二進制加法計

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論