第五章中大規(guī)模組合邏輯構件修改_第1頁
第五章中大規(guī)模組合邏輯構件修改_第2頁
第五章中大規(guī)模組合邏輯構件修改_第3頁
第五章中大規(guī)模組合邏輯構件修改_第4頁
第五章中大規(guī)模組合邏輯構件修改_第5頁
已閱讀5頁,還剩65頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

第五章中大規(guī)模

集成組合邏輯構件2

使用最廣泛的中規(guī)模組合邏輯集成電路有二進制并行加法器、譯碼器、編碼器、多路選擇器和多路分配器等。一、定義

二進制并行加法器:是一種能并行產(chǎn)生兩個二進制數(shù)算術和的組合邏輯部件。

二進制并行加法器

按其進位方式的不同,可分為串行進位二進制并行加法器和超前進位二進制并行加法器兩種類型。

二、類型及典型產(chǎn)品

3

1.串行進位二進制并行加法器:由全加器級聯(lián)構成,高位的進位輸出依賴于低位的進位輸入。典型芯片有四位二進制并行加法器T692。

四位二進制并行加法器T692的結構框圖如下圖所示。

FA3

FA2

FA1

F4

F3

F2

F1

C0

C1

C2

C3

FC4

B1

A1

B2

A2

B3

A3

B4

A4

T692的結構框圖FA4

第七章

中規(guī)模通用集成電路及其應用4

串行進位二進制并行加法器的特點:

被加數(shù)和加數(shù)的各位能同時并行到達各位的輸入端,而各位全加器的進位輸入則是按照由低位向高位逐級串行傳遞的,各進位形成一個進位鏈。由于每一位相加的和都與本位進位輸入有關,所以,最高位必須等到各低位全部相加完成并送來進位信號之后才能產(chǎn)生運算結果。顯然,這種加法器運算速度較慢,而且位數(shù)越多,速度就越低。如何提高加法器的運算速度?必須設法減小或去除由于進位信號逐級傳送所花費的時間,使各位的進位直接由加數(shù)和被加數(shù)來決定,而不需依賴低位進位。根據(jù)這一思想設計的加法器稱為超前進位(又稱先行進位)二進制并行加法器。5

四位二進制并行加法器T693構成思想如下:

2.超前進位二進制并行加法器:根據(jù)輸入信號同時形成各位向高位的進位,然后同時產(chǎn)生各位的和。通常又稱為先行進位二進制并行加法器或者并行進位二進制并行加法器。典型芯片有四位二進制并行加法器T693。

由全加器的結構可知,第i位全加器的進位輸出函數(shù)表達式為

6

當i=1、2、3、4時,可得到4位并行加法器各位的進位輸出函數(shù)表達式為:令(進位傳遞函數(shù))(進位產(chǎn)生函數(shù))則有第七章

中規(guī)模通用集成電路及其應用

由于C1~C4是Pi、Gi和C0的函數(shù),即Ci=f(Pi,Gi,C0),而Pi、Gi又是

Ai、Bi的函數(shù),所以,在提供輸入Ai、Bi和C0之后,可以同時產(chǎn)生C1~C4。通常將根據(jù)Pi、Gi和C0形成C1~C4的邏輯電路稱為先行進位發(fā)生器。7

T692、T693芯片的管腳排列圖如右圖所示。

三、四位二進制并加法器的外部特性和邏輯符號

1.外部特性

第七章

中規(guī)模通用集成電路及其應用圖中,A4、A3、A2、A1

-------二進制被加數(shù);

B4、B3、

B2、B1

-------二進制加數(shù);

F4、

F3、

F2、

F1

------相加產(chǎn)生的和數(shù);

C0

--------------------來自低位的進位輸入;

FC4

-------------------向高位的進位輸出。

8

2.邏輯符號

四位二進制并行加法器邏輯符號如下圖所示。

第七章

中規(guī)模通用集成電路及其應用9

二進制并行加法器除實現(xiàn)二進制加法運算外,還可實現(xiàn)代碼轉(zhuǎn)換、二進制減法運算、二進制乘法運算、十進制加法運算等功能。

例1

用4位二進制并行加法器設計一個將8421碼轉(zhuǎn)換成余3碼的代碼轉(zhuǎn)換電路。

四、應用舉例

解根據(jù)余3碼的定義可知,余3碼是由8421碼加3后形成的代碼。所以,用4位二進制并行加法器實現(xiàn)8421碼到余3碼的轉(zhuǎn)換,只需從4位二進制并行加法器的輸入端A4、A3、A2和A1輸入8421碼,而從輸入端B4、B3、B2和B1輸入二進制數(shù)0011,進位輸入端C0接上“0”,便可從輸出端F4、F3、F2和F1得到與輸入8421碼對應的余3碼。

第七章

中規(guī)模通用集成電路及其應用10

實現(xiàn)給定功能的邏輯電路圖如下圖所示。

集成電路的分類按功能分:數(shù)字電路、模擬電路兩大類數(shù)字電路:從門電路到微處理器、存儲器等多種按半導體制造工藝:雙極型(TTL,LTTL…)

MOS(PMOS,NMOS,CMOS…)

目前最常用的工藝:CMOS(互補金屬氧化物半導體)按封裝(外形)分:雙列直插、表面封裝、BGA速度功耗集成度

TTL(晶體管晶體管邏輯)快大低

MOS(金屬氧化物半導體)慢小高兩大類工藝技術的特點:集成電路的發(fā)展“集成電路”(IC)是相對“分立原件”而言的,是所有以半導體工藝將電路集成到一塊芯片的器件總稱。半導體制造工藝的發(fā)展帶動了集成電路的更新?lián)Q代。

VLSI時代存儲器件制造工藝帶動了整個微處理器的更新?lián)Q代。

集成電路內(nèi)部的連線寬度是主要的指標:0.8m,0.35m,0.25m,0.18m,0.13m…….集成電路的發(fā)展小規(guī)模(SSI)1965年10個門電路以下中規(guī)模(MSI)1970年10-100個門/片大規(guī)模(LSI)1976年100-1000個門/片超大規(guī)模(VLSI)80年代初1000個門以上甚大規(guī)模(ULSI)每隔18個月集成度翻一翻實例1實例2可編程邏輯器件特點1.裝配密度增加,結構簡化、體積縮小、重量減輕、功耗降低;2.電路數(shù)量大大減少,可靠性高;3.設計數(shù)字系統(tǒng)比較容易,維修方便,而且成本低廉,應用廣泛。注意:1.掌握集成電路的功能

2.通過學習常用集成電路,掌握認識具體芯片的方法。常用集成電路5.1編碼器5.2譯碼器5.3數(shù)據(jù)選擇器5.4數(shù)值比較器5.5奇偶校驗器主要要求:

理解編碼的概念。

理解常用編碼器的類型、邏輯功能和使用方法。5.1

編碼器

一、編碼器的概念編碼將具有特定含義的信息編成相應二進制代碼的過程。實現(xiàn)編碼功能的電路編碼器(即Encoder)

被編信號二進制代碼編碼器二、編碼器的分類輸出功能二進制編碼器—輸入2n個信號,輸出n位代碼二~十進制編碼器—10個信號輸入,BCD碼輸出一般編碼器—輸入信號有約束優(yōu)先編碼器—按優(yōu)先級別高低編碼代表0~9十個數(shù)字I1I2I3I4I5I6I7Y0Y1Y23位二進制編碼器用n位二進制數(shù)碼對2n個輸入信號進行編碼的電路。

二進制編碼器由圖可寫出編碼器的輸出邏輯函數(shù)為由上式可列出真值表為原碼輸出Y0=I1·I3·I5·I7Y2=I4·I5·I6·I7Y1=I2·I3·I6·I7Y0=I1·I3·I5·I7I0省略不畫

8個需要編碼的輸入信號輸出

3

二進制碼I1I2I3I4I5I6I7Y0Y1Y21111000000001101000000101001000000010001000011000001000010000001001000000001000000000001Y0Y1Y2I7I6I5I4I3I2I1I0輸出輸入被編信號高電平有效。

8線

–3線編碼器二—十進制編碼器框圖編碼器I0I1I2I9Y3

Y2

Y1

Y0

按鍵產(chǎn)生二進制代碼(BCD)二—十進制編碼器真值表二—十進制編碼器函數(shù)式2、由表寫邏輯式,并化為最簡式。111111111111111或運算形式二—十進制編碼器函數(shù)式與非式或運算形式I1I2I3I4I5I6I7Y0Y1Y2I8I9Y38421BCD碼編碼器二—十進制編碼器電路圖將0~9十個十進制數(shù)轉(zhuǎn)換為二進制代碼的電路。又稱十進制編碼器。

I0省略不畫輸出

4位二進制代碼I1I2I3I4I5I6I7Y0Y1Y2I8I9Y310線

–4線編碼器電話室有三種電話,按由高到低優(yōu)先級排序依次是火警電話,急救電話,工作電話,要求電話編碼依次為00、01、10。試設計電話編碼控制電路,要求用集成門電路實現(xiàn)。解:(1)根據(jù)題意知,同一時間電話室只能處理一部電 話,用A、B、C分別代表火警、急救、工作三種電話,電 話鈴響用1表示,鈴沒響用0表示。當優(yōu)先級別高的信號有 效時,低級別的則不起作用,這時用×表示;用Y1、Y2表 示輸出編碼。(2)列真值表輸出輸入000001100001××01×001Y2

Y1A

B

C真值表(3)寫邏輯表達式(4)畫出邏輯電路圖&&11ABY2CY1為何要使用優(yōu)先編碼器?5.1.2優(yōu)先編碼器1111000000001101000000101001000000010001000011000001000010000001001000000001000000000001Y0Y1Y2I7I6I5I4I3I2I1I0輸出輸入允許同時輸入數(shù)個編碼信號,并只對其中優(yōu)先權最高的信號進行編碼輸出的電路。普通編碼器在任何時刻只允許一個輸入端請求編碼,否則輸出發(fā)生混亂。CT74LS147I8I1I2I3I4I5I6I7Y0Y1Y2Y3I9二

-

十進制優(yōu)先編碼器

CT74LS147

I9=1,I8=0時,不論I0~I7為0還是

1,電路只對I8進行編碼,輸出反碼0111。反碼輸出被編信號輸入,(省略了I0),低電平有效。0111111111110101111111110×00111111110××1101111110×××010111110××××10011110×××××0001110××××××111010×××××××01100××××××××1111111111111Y0Y1Y2Y3I9I8I7I6I5I4I3I2I1輸出輸入

I9=0時,不論其他Ii為0

還是1,電路只對I9進行編碼,輸出Y3Y2Y1Y0=0110,為反碼,其原碼為1001。111010×××××××01100××××××××1111111111111無編碼請求Y3Y2Y1Y0=1111依次類推CT74LS147I8I1I2I3I4I5I6I7Y0Y1Y2Y3I9被編信號優(yōu)先級別從高到低依次為

I9、I8、I7、I6、I5、

I4、I3、I2、I1、I0。74LS148的符號圖和管腳圖IEGSOE三線-八線編碼器1.IE為使能輸入端,也為選用輸入端,(低電平有效)當EI為高電平時,所有的輸入端均被封所在高電平;2.OE為使能輸出端(低電平有效)3.GS為優(yōu)先編碼工作標志(低電平有效)4.該電路為反碼輸出1011111111E0X10XXXXXXX01111XXXXXXX1100000000GS111111110711111111101111111110110111110010111110X01001110XX0011110XXX001010XXXX00010XXXXX0000XXXXXX0A2A1A0

654321(A2,A1,A0用反碼編碼,Gs為編碼輸出,Eo為使能輸出,Ei為使能輸入)76543210用公式化簡:A+AB=A+B(E0=0,表示本片沒有編碼,多片相連時低位可以編碼)圖4.14所示為利用74LS148編碼器監(jiān)視8個化學罐液面的報警編碼電路。若8個化學罐中任何一個的液面超過預定高度時,其液面檢測傳感器便輸出一個0電平到編碼器的輸入端。編碼器輸出3位二進制代碼到微控制器。此時,微控制器僅需要3根輸入線就可以監(jiān)視八個獨立的被測點。微控制器報警編碼電路★74LS1488-3線優(yōu)先編碼器

應用1主要要求:

理解譯碼的概念。

掌握二進制譯碼器CT74LS138的邏輯功能和使用方法。6.4

譯碼器

理解其他常用譯碼器的邏輯功能和使用方法。掌握用二進制譯碼器實現(xiàn)組合邏輯電路的方法。一、譯碼的概念與類型

譯碼是編碼的逆過程。

將表示特定意義信息的二進制代碼翻譯出來。實現(xiàn)譯碼功能的電路

譯碼器二進制譯碼器二-十進制譯碼器

數(shù)碼顯示譯碼器譯碼器(即Decoder)

二進制代碼

與輸入代碼對應的特定信息

譯碼器二、二進制譯碼器將輸入二進制代碼譯成相應輸出信號的電路。n位

二進制代碼

2n位

譯碼輸出二進制譯碼器譯碼輸出100011010001001010000100Y3Y2Y1Y0A0A1譯碼輸入譯碼輸出高電平有效譯碼輸出011111101101110110111000Y3Y2Y1Y0A0A1譯碼輸入0000譯碼輸出低電平有效2-4線譯碼器電路與工作原理演示

(一

)3線-8線譯碼器CT74LS138簡介

CT74LS138A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7S3S2S1Y0Y1Y2Y3Y4Y5Y6Y7邏輯功能示意圖

(一)

3線-8線譯碼器CT74LS138簡介

3位二進制碼輸入端8個譯碼輸出端低電平有效。使能端S1高電平有效,

S2、S3低電平有效,即當S1=1,

S2=S3=0時譯碼,否則禁止譯碼。0111111111101101111110110111011111101011110111100101111101111100111111011010011111110110001111111100000111111111××××011111111×××1×Y7Y6Y5Y4Y3Y2Y1Y0A0A1A2S2+S3S1輸出輸入CT74LS138

真值表允許譯碼器工作禁止譯碼

Y7~Y0由輸入二進制碼A2、A1、A0的取值決定。011111111111111111010101010101010100010000000000輸出邏輯函數(shù)式Y0=A2A1A0=m0Y1=A2A1A0=m1Y2=A2A1A0=m2Y3=A2A1A0=m3Y4=A2A1A0=m4Y5=A2A1A0=m5Y6=A2A1A0=m6Y7=A2A1A0=m700001000Y0=A2A1A0=m0Y1=A2A1A0=m1二進制譯碼器能譯出輸入變量的全部取值組合,故又稱變量譯碼器,也稱全譯碼器。其輸出端能提供輸入變量的全部最小項。

(二

)用二進制譯碼器實現(xiàn)組合邏輯函數(shù)

(二)

用二進制譯碼器實現(xiàn)組合邏輯函數(shù)由于二進制譯碼器的輸出端能提供輸入變量的全部最小項,而任何組合邏輯函數(shù)都可以變換為最小項之和的標準式,因此用二進制譯碼器和門電路可實現(xiàn)任何組合邏輯函數(shù)。當譯碼器輸出低電平有效時,多選用與非門;譯碼器輸出高電平有效時,多選用或門。由于有A、B、C三個變量,故選用3線

-8線譯碼器。解:(1)

根據(jù)邏輯函數(shù)選擇譯碼器[例]試用譯碼器和門電路實現(xiàn)邏輯函數(shù)選用3線-8線譯碼器CT74LS138,并令A2=A,A1=B,A0=C。(2)

將函數(shù)式變換為標準與-

或式(3)根據(jù)譯碼器的輸出有效電平確定需用的門電路ABCYY1Y0Y3Y4Y2Y5Y6Y71S1S2S3A0A1A2CT74LS138(4)畫連線圖Y&CT74LS138輸出低電平有效,,i=0~7因此,將Y函數(shù)式變換為采用5輸入與非門,其輸入取自Y1、Y3、Y5、Y6和Y7。[例]試用譯碼器實現(xiàn)全加器。解:(1)分析設計要求,列出真值表設被加數(shù)為Ai

,加數(shù)為Bi

,低位進位數(shù)為Ci-1。輸出本位和為Si

,向高位的進位數(shù)為Ci

。列出全加器的真值表如下:1111110011101010100110110010100110000000CiSiCi-1BiAi輸出輸入(3)選擇譯碼器選用3線–8線譯碼器CT74LS138。并令A2=Ai,A1=Bi,A0=Ci-1。(2)根據(jù)真值表寫函數(shù)式Y1Y0Y3Y4Y2Y5Y6Y71S1S2S3AiSiCi-1A0A1A2CT74LS138CiBi(4)根據(jù)譯碼器的輸出有效電平確定需用的門電路(5)畫連線圖Ci&Si&CT74LS138輸出低電平有效,,i=0~7因此,將函數(shù)式變換為CT74LS138(1)A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7S3S2S1Y0Y1Y2Y3Y4Y5Y6Y7CT74LS138(2)A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7S3S2S1Y8Y9Y10Y11Y12Y13Y14Y15A2A1A0EA31

(三)譯碼器的擴展

A3A2A1A0低位片高位片

(三)譯碼器的擴展

例如兩片CT74LS138

組成的4線–16

線譯碼器。16個譯碼輸出端

4位二進制碼輸入端低3位碼從各譯碼器的碼輸入端輸入。A2A1A0A2A1A0A2A1A0S11S2A3S1S3S3S2E高位碼A3與高位片STA端和低位片STB端相連,因此,A3=0時低位片工作,A3=1時高位片工作。

STA不用,應接有效電平1。作4線–16線譯碼器使能端,低電平有效。CT74LS138組成的4線–16線譯碼器工作原理

E=1時,兩個譯碼器都不工作,輸出Y0~Y15都為高電平1。(1)A3=0時,高位片不工作,低位片工作,譯出與輸入0000~0111分別對應的8個輸出信號Y0~Y7。(2)A3=1時,低位片不工作,高位片工作,譯出與輸入1000~1111分別對應的

8

個輸出信號

Y8~

Y15。

E=0時,允許譯碼。CT74LS138(1)A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7S3S2S1Y0Y1Y2Y3Y4Y5Y6Y7CT74LS138(2)A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7S3S2S1Y8Y9Y10Y11Y12Y13Y14Y15A2A1A0EA31A3A2A1A0低位片高位片A2A1A0A2A1A0A2A1A0S11S2A3S1S3S3S2E將BCD碼的十組代碼譯成0~9十個對應輸出信號的電路,又稱4線–10線譯碼器。三、二-十進制譯碼器

8421BCD碼輸入端,從高位到低位依次為A3、A2、A1和A0。

10個譯碼輸出端,低電平0有效。4線-10線譯碼器CT74LS42邏輯示意圖Y1Y0Y3Y4Y2Y5Y6Y7Y8Y9A0A1A2CT74LS42A3111111111111111111111111011111111111111011111111111100111111111111110111111111110101偽碼011111111110019101111111100018110111111111107111011111101106111101111110105111110111100104111111011111003111111101101002111111110110001111111111000000Y9Y8Y7Y6Y5Y4Y3Y2Y1Y0A0A1A2A3輸出輸入十進制數(shù)4線-10線譯碼器CT74LS42真值表00000010001001000111100110101000101100010000000000111111111111111111111111111111111111111111111111111111111111111101111011001111010101偽碼015.2.3數(shù)字顯示譯碼器

在數(shù)字系統(tǒng)中,常需要將運算結果用人們習慣的十進制顯示出來,這就要用到顯示譯碼器。二-十進制編碼顯示譯碼器顯示器件

分段式按顯示方式分點陣式

半導體顯示器熒光數(shù)碼管液晶顯示器

按發(fā)光物質(zhì)分分類液晶顯示器件(LCD)

LCD是一種平板薄型顯示器件,驅(qū)動電壓低,工作電流非常小,配合CMOS電路可以組成微功耗系統(tǒng)。半導體數(shù)碼管(LED)外形圖按內(nèi)部連接方式不同,七段數(shù)字顯示器分為

共陽極

和共陰極

兩種。小數(shù)點abcdefgabcde=0f=0g共陰極e=0f=0共陽極低有效高有效七段顯示譯碼器的設計顯示譯碼器abcdefgabcdefgA3A2A1A0R功能表

00010110000

00101101101

00001111110DCBAabcdefg09(8421)

00111111001

01000110011

01011011011

01101011111

10001111111

10011111011

01111110000abcdefg★A3~A0是字型譯碼器輸入的BCD地址代碼?!颵a

~Yg表示字型譯碼器的段位顯示代碼。并規(guī)定燈亮為“1”,不亮為“0”。所以輸出為高電平,可以驅(qū)動共陰極LED數(shù)碼管?!餆魷y試輸入端★消隱輸入端★滅“0”輸入端★滅“0”輸出端控制端:表示消隱輸入/滅0輸出端。十A3A2A1A0abcdefg顯示01100001111111011X00011011000021X00101110110131X00111111100141X01001011001151X01011101101161X01101001111171X01111111000081X10001111111191X100111110011101X101010001101111X101110011001121X110010100011131X110111001011141X111010001111151X111110000000XXXXXX00000000100000000000000XXXXX111111117448功能表:abcdefg

從功能表中看出:表中列出輸入BCD代碼的前十個狀態(tài)與Ya~Yb十個字型對應關系外,還規(guī)定了輸入為1010~1111這六個狀態(tài)下的顯示字型。

燈測試輸入端主要用于檢查LED的好壞。

消隱輸入端(與滅“0”輸出端共用)

滅“0”輸入端,熄滅無意義的0

滅“0”輸出端與(滅“0”輸入端配合使用)0

時,輸出a~g全“1”七段全亮。1

時,正常譯碼。0

時,不管輸入何種狀態(tài),輸出全01

時,正常譯碼。0

時,滅掉不要顯示的0,001→11

時,顯示0,不滅中間0。101→101A3~A0=0000當:(功能表倒數(shù)第二行)表示本位應顯示的0已經(jīng)滅掉。功能擴展應用將滅0輸出

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論