電路原理-清華-48課件_第1頁
電路原理-清華-48課件_第2頁
電路原理-清華-48課件_第3頁
電路原理-清華-48課件_第4頁
電路原理-清華-48課件_第5頁
已閱讀5頁,還剩15頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

狀態(tài)變量法共1講(總第五十三講)狀態(tài)變量狀態(tài)方程狀態(tài)方程的建立2/6/20231課件動態(tài)電路的分析方法高階微分方程富氏變換、拉氏變換聯(lián)立一階微分方程組古典控制理論的基礎(chǔ)古典法變換法狀態(tài)變量法時(shí)域頻域、復(fù)頻域時(shí)域現(xiàn)代控制理論基礎(chǔ)適用于線性系統(tǒng)單輸入單輸出系統(tǒng)多輸入、多輸出系統(tǒng)線性、非線性系統(tǒng)2/6/20232課件一、狀態(tài)變量X分析系統(tǒng)動態(tài)過程的獨(dú)立變量。選定系統(tǒng)中一組最少數(shù)目的變量X=[x1,x2,…xn]T,如果當(dāng)t=t0時(shí)這組變量值X(t0)和t

t0后的輸入e(t)為已知,就可以確定t0及t0以后任何時(shí)刻系統(tǒng)的響應(yīng)。X(t0)e(t)tt0

稱這一組最少數(shù)目的變量為狀態(tài)變量。Y(t)tt02/6/20233課件二、狀態(tài)方程求解狀態(tài)變量的方程設(shè)uC,iL

為狀態(tài)變量列微分方程RCe(t)+uCiL+LiC+uL改寫為特點(diǎn)(1)聯(lián)立一階微分方程組(2)左端為狀態(tài)變量的一階導(dǎo)數(shù)(3)右端僅含狀態(tài)變量和輸入量1.狀態(tài)方程2/6/20235課件矩陣形式[x]=[x1

x2xn]T式中一般形式\nn\nr2/6/20236課件2.輸出方程特點(diǎn)(1)代數(shù)方程

(2)用狀態(tài)變量和輸入量表示輸出量一般形式[y]=[C][x]+[D][u]RuLCe(t)+-uCiLiCuR+-+-+-L3、幾點(diǎn)注意(1)狀態(tài)變量和儲能元件有聯(lián)系,狀態(tài)變量的個(gè)數(shù)等于

獨(dú)立的儲能元件個(gè)數(shù)。(2)一般選擇uC和

iL為狀態(tài)變量,也常選

q為狀態(tài)變量。(3)狀態(tài)變量的選擇不唯一。2/6/20237課件三、狀態(tài)方程的列寫1、直觀法選uC,i1,

i2為狀態(tài)變量R1-+uSCuCiSiRR2i2L2L1

-+i1含duC/dt

電容節(jié)點(diǎn)列KCL含diL/dt電感回路列KVL例12/6/20239課件2/6/202310課件例2L3i3uSR6R5C2C1L4+-i5i6i4+-+-u1u2選u1,u2,i3,

i4為狀態(tài)變量消去非狀態(tài)量i5,i6i5=(u2-u1)/R5i6=i4-i3代入上式,整理2/6/202311課件2、疊加法(1)將電源、電容、電感均抽到網(wǎng)絡(luò)外。(2)電容用電壓源替代,電感用電流源替代。(3)用疊加定理求iC,uL。

則uS、iS、uC、iL共同作用下的

iC,uL為:iC=a11uC1+a12iL+

b11uS+b12iS

uL=a21uC1+a22iL+

b21uS+b22iSuCuSRR+iSiL++2/6/202313課件例3

設(shè)uC1、uC2、iL為狀態(tài)變量iSR1R2uSuC1uC2iC1iC2LuLiL2/6/202314課件(1)uc1=1V

單獨(dú)作用iL=0,iS=0,uS=0,uC2=0求:iC1,iC2,uL。解R1R2uC1iC1iC2uLiSR1R2uSuC1uC2iC1iC2LuLiL2/6/202315課件R1R2iC1iC2uLiL(3)iL=1A單獨(dú)作用

iS=0,uS=0,uC1=0,uC2=0求:iC1,iC2,uL。iSR1R2uSuC1uC2iC1iC2LuLiL2/6/202317課件iSR1R2uSuC1uC2iC1iC2LuLiL(4)us=1V單獨(dú)作用

iS=0,iL=0,uC1=0,uC2=0求:iC1,iC2,uL。iC2R1R2iC1uLuS2/6/202318課件(5)iS

=1A單獨(dú)作用uS=0,iL=0,uC1=0,uC

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論