硬幣兌換系統(tǒng)_第1頁(yè)
硬幣兌換系統(tǒng)_第2頁(yè)
硬幣兌換系統(tǒng)_第3頁(yè)
硬幣兌換系統(tǒng)_第4頁(yè)
硬幣兌換系統(tǒng)_第5頁(yè)
已閱讀5頁(yè),還剩20頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

西華大學(xué)課程設(shè)計(jì)說明書西華大學(xué)課程設(shè)計(jì)說明書PAGEII硬幣兌換系統(tǒng)摘要:我們?cè)谠O(shè)計(jì)此課題中要求設(shè)計(jì)一個(gè)零錢兌換機(jī),用74hc4511、74lvc161、顯示管等實(shí)現(xiàn)譯碼、計(jì)數(shù)、顯示等功能。我們選擇了一種相對(duì)來說較簡(jiǎn)單的設(shè)計(jì)方案,進(jìn)行由上而下層次化的設(shè)計(jì),先定義和規(guī)定各個(gè)模塊的結(jié)構(gòu),再對(duì)模塊內(nèi)部進(jìn)行詳細(xì)設(shè)計(jì)。最后將設(shè)計(jì)好的模塊組合調(diào)試,并模擬仿真。英文摘要:Wedesigntheprojectsareinthedesignrequirementfora'schangemakers,with74hc4511,74lvc161,XianShiGuandecoding,counting,andgetthedisplayfunction.Wechoosearelativelysimpledesign,bygoupanddownthehierarchicaldesign,firstdefinitionandprovisionsofthestructureofthemodules,andthenamodulewithinthedetaileddesign.Thelastwilldesigngoodmodulescommissioning,andsimulation.英文關(guān)鍵詞:transcode英文摘要:Wedesigntheprojectsareinthedesignrequirementfora'schangemakers,with74hc4511,74lvc161,XianShiGuandecoding,counting,andgetthedisplayfunction.Wechoosearelativelysimpledesign,bygoupanddownthehierarchicaldesign,firstdefinitionandprovisionsofthestructureofthemodules,andthenamodulewithinthedetaileddesign.Thelastwilldesigngoodmodulescommissioning,andsimulation.英文關(guān)鍵詞:transcodecountingreveal目錄TOC\o"1-2"\h\z\u1前言 11.1設(shè)計(jì)背景 11.2設(shè)計(jì)概述 12總體方案設(shè)計(jì) 32.1方案比較 32.2方案論證 42.3方案選擇 43單元模塊設(shè)計(jì) 53.1硬幣兌換系統(tǒng)組成 53.2電路元器件的選擇 94軟件簡(jiǎn)介 125系統(tǒng)調(diào)試 95.1系統(tǒng)仿真總圖 135.2系統(tǒng)仿真參數(shù)設(shè)置 135.3功能調(diào)試 135.4調(diào)結(jié)果分析 186系統(tǒng)功能指標(biāo)參數(shù) 196.1系統(tǒng)實(shí)現(xiàn)的功能 196.2系統(tǒng)指標(biāo)參數(shù)測(cè)試 196.3系統(tǒng)功能及指標(biāo)參數(shù)分析 197結(jié)論 208設(shè)計(jì)總結(jié) 218.1設(shè)計(jì)的收獲體會(huì) 218.2對(duì)設(shè)計(jì)的進(jìn)一步完善提出意見或建議 219致謝 2210參考文獻(xiàn) 23附錄:系統(tǒng)仿真總圖第1頁(yè)1前言1.1設(shè)計(jì)背景公交車現(xiàn)在是大多數(shù)人的主要出行交通方式,這樣很多時(shí)候就需要換零錢,但是大部分公交站臺(tái)附近并沒有能換零錢的地方,而現(xiàn)在很多都是無人售票,因此給人們帶來了許多的不便。近年來,在全國(guó)各地都發(fā)生過由于乘客沒有零錢而與公交司機(jī)發(fā)生沖突的事件。如:2006年9月20日電哈爾濱市一名大學(xué)生乘坐80路公交車等待找零時(shí),與司機(jī)發(fā)生爭(zhēng)執(zhí),廝打中乘務(wù)員又叫來兩人,三人將該大學(xué)生打傷;2010年10月18日上午,乘客吳先生在寧德火車站乘坐18路公交車(火車站—汽車北站)時(shí),因身上沒帶零錢與該公交車司機(jī)發(fā)生糾紛,遭到司機(jī)的毆打;2012年3月10日,東莞厚街一輛公交車上發(fā)生了一宗暴力事件,一個(gè)小伙子因?yàn)闆]零錢乘車被拒,居然暴打司機(jī),一邊打還一邊說自己是特警,想不到,被打的司機(jī)也不好惹,公交還帶著刀,結(jié)果,事情鬧大了;等等。為了盡量避免這樣的事件再次發(fā)生,我們?cè)O(shè)計(jì)一個(gè)在公交車站臺(tái)安放的兌換零錢的機(jī)器,這樣當(dāng)乘客沒有零錢時(shí)就能很放便的在站臺(tái)換零錢了。這樣既給乘客帶來了方便,又減少了很多不必要的沖突。1.2設(shè)計(jì)概述本設(shè)計(jì)是用了三部分相對(duì)獨(dú)立的分立元件共同組成的硬幣兌換系統(tǒng)。第一部分是錢幣識(shí)別、顯示、報(bào)警部分;第二部分是由錢幣兌換的出幣系統(tǒng);第三部分是錢幣兌換系統(tǒng)的出幣總數(shù)計(jì)數(shù)系統(tǒng)。1.2.1設(shè)計(jì)目標(biāo)當(dāng)人們需要換幣時(shí),存入紙幣,該系統(tǒng)自動(dòng)檢測(cè)錢幣真假。如果為假幣,則報(bào)警;如果為真則輸出相應(yīng)的硬幣數(shù)。當(dāng)系統(tǒng)硬幣兌換完后自動(dòng)停止工作。1.2.2技術(shù)路線要實(shí)現(xiàn)該設(shè)計(jì),就應(yīng)把整個(gè)電路分為幾大模塊。分別是錢幣識(shí)別及報(bào)警模塊,出幣模塊,總數(shù)計(jì)數(shù)模塊。先通過對(duì)各個(gè)模塊進(jìn)行設(shè)計(jì)、調(diào)試之后再將其組合起來進(jìn)行整機(jī)調(diào)試。1.2.3實(shí)施計(jì)劃首先就是要理清實(shí)現(xiàn)設(shè)計(jì)的框圖,在總體框圖的指導(dǎo)下,作出具體的電路圖,由要設(shè)計(jì)實(shí)現(xiàn)的功能,計(jì)算出各個(gè)電路元件的值,并逐一對(duì)各個(gè)元件進(jìn)行選擇。最后用仿真軟件對(duì)設(shè)計(jì)進(jìn)行仿真操作,調(diào)試軟件,并對(duì)照出的設(shè)計(jì)有誤的地方進(jìn)行必要的修正,確保設(shè)計(jì)的正確。1.2.4必備條件要實(shí)現(xiàn)該電路的設(shè)計(jì),首要的就是要制定出設(shè)計(jì)的實(shí)現(xiàn)框圖,并在老師的指導(dǎo)和參照資料的條件下作出具體電路圖,選定實(shí)現(xiàn)實(shí)現(xiàn)該設(shè)計(jì)的各種元器件。另外,還應(yīng)由必要的軟件幫助設(shè)計(jì)的完成。2總體方案設(shè)計(jì)對(duì)同一種目的的實(shí)現(xiàn),可以用不同的方案,下面就著重介紹以下兩種方案,并比較兩種方案的優(yōu)劣。最后選擇較好的方案進(jìn)行設(shè)計(jì)。2.1方案比較2.1.1方案一系統(tǒng)框圖如圖2.1所示。圖2.1方案一的系統(tǒng)框圖工作原理簡(jiǎn)述:當(dāng)有紙幣輸入時(shí),錢幣檢測(cè)、識(shí)別電路工作,如果是假幣或非5元、10元、20元以及不能識(shí)別的紙幣,報(bào)警電路將發(fā)出嘀嘀嘀的響聲,并把錢幣退出。如果是可以識(shí)別的錢幣,系統(tǒng)通過顯示電路將面值顯示在七段發(fā)光二極管數(shù)碼管上,并且計(jì)數(shù)出幣電路啟動(dòng),紅色發(fā)光二極管按出幣個(gè)數(shù)有規(guī)律的閃動(dòng),開始出硬幣。并且設(shè)計(jì)有總出幣計(jì)數(shù)電路,使得機(jī)器內(nèi)部沒硬幣時(shí)自動(dòng)提示并停止工作,達(dá)到硬幣兌換效果。2.1.2方案二系統(tǒng)框圖如圖2.2所示。圖2.2方案二的系統(tǒng)框圖工作原理簡(jiǎn)述:系統(tǒng)一直處于掃描狀態(tài),沒有紙幣輸入時(shí)機(jī)器處于休眠狀態(tài),將功耗降到最低,當(dāng)有紙幣輸入時(shí),系統(tǒng)喚醒并識(shí)別、判斷。如果是假幣,機(jī)器自動(dòng)沒收并提示,如果是可識(shí)別的真幣,系統(tǒng)報(bào)面值并啟動(dòng)兌換系統(tǒng),機(jī)器出幣并找零,達(dá)到零錢兌換效果。2.2方案論證表2.1方案一、二論證分析方案一優(yōu)點(diǎn)電路簡(jiǎn)單、人性化,出幣數(shù)目可計(jì),報(bào)警電路以及顯示電路、提示電路,方便更人性化,性能可靠、實(shí)用。而且制造成本比較低,成品價(jià)格相對(duì)實(shí)惠。缺點(diǎn)只能對(duì)5元、10元、20元紙幣進(jìn)行兌換,功能不夠完善方案二優(yōu)點(diǎn)功能較完善,使用效果好,平時(shí)功耗較低缺點(diǎn)無法顯示面值,而且不能預(yù)知剩余硬幣個(gè)數(shù),電路較復(fù)雜,難實(shí)現(xiàn)2.3方案選擇方案一的原理簡(jiǎn)單,所用74HCT4511集成器件和74LS161計(jì)數(shù)器,性能可靠,都比較經(jīng)濟(jì),方便實(shí)用。成品價(jià)格相對(duì)實(shí)惠,且性價(jià)比高,在實(shí)際運(yùn)用中消費(fèi)人群廣,便于普及,市場(chǎng)潛力相當(dāng)可觀。經(jīng)過綜合考慮,最終選擇了方案一。3單元模塊設(shè)計(jì)本節(jié)主要介紹系統(tǒng)各單元模塊的具體功能、電路結(jié)構(gòu)、工作原理、以及各個(gè)單元模塊之間的聯(lián)接關(guān)系;同時(shí)本節(jié)也會(huì)對(duì)相關(guān)電路中的參數(shù)計(jì)算、元器件選擇、以及核心器件進(jìn)行必要說明。3.1硬幣兌換系統(tǒng)表3.1換零電路組成表模塊作用模擬紙幣輸入模塊模擬紙幣的識(shí)別及假幣報(bào)警譯碼顯示模塊顯示輸入紙幣面額出幣控制模塊控制輸出指定數(shù)量硬幣總出幣計(jì)數(shù)模塊計(jì)算輸出總硬幣數(shù),當(dāng)預(yù)置硬幣輸出完時(shí)給出提示信號(hào)3.1.1模擬紙幣輸入模塊其電路如圖3.1所示:圖3.1模擬紙幣輸入模塊模擬紙幣輸入模塊的電路原理圖如圖所示:5個(gè)單刀雙擲開關(guān)模擬了紙幣的輸入及識(shí)別,其中SW6模擬紙幣輸入,SW5模擬紙幣的熒光,SW4模擬紙幣的安全線,SW3模擬紙幣的5元水印,SW2模擬紙幣的10元水印,SW1模擬紙幣的20元水印(5元水印,10元水印,20元水印只能同時(shí)滿足一個(gè))。當(dāng)有紙幣輸入且同時(shí)滿足SW5、SW4和SW3、SW2、SW1中的一個(gè)時(shí)3個(gè)與門將有一個(gè)輸出高電平輸出到以后的顯示及控制電路。當(dāng)不滿足以上條件時(shí)將通過3個(gè)或門輸出低電平以使揚(yáng)聲器報(bào)警。3.1.2譯碼顯示模塊譯碼顯示模塊模塊的原理圖如圖3.2圖所示:圖3.2顯示模塊模塊此模塊由2片4511和2個(gè)7段顯示譯碼器組成,當(dāng)模擬紙幣輸入模塊的U1輸出高電平時(shí)左邊一個(gè)7段顯示譯碼器顯示2,右一個(gè)7段顯示譯碼器顯示0。當(dāng)模擬紙幣輸入模塊的U2輸出高電平時(shí)左邊一個(gè)7段顯示譯碼器顯示1,右一個(gè)7段顯示譯碼器顯示0。當(dāng)模擬紙幣輸入模塊的U3輸出高電平時(shí)左邊一個(gè)7段顯示譯碼器顯示0,右一個(gè)7段顯示譯碼器顯示5。3.1.3出幣控制模塊原理圖如圖3.3所示:圖3.3出幣控制模塊出幣控制模塊主要是由4片161組成。其中最左邊一片控制輸出5個(gè)硬幣,左邊第二片控制輸出10個(gè)硬幣,右邊2片擴(kuò)展后控制輸出20個(gè)硬幣。用一個(gè)發(fā)光二極管模擬硬幣輸出,當(dāng)輸入5元時(shí)發(fā)光二極管亮5次然后停止,當(dāng)輸入10元時(shí)發(fā)光二極管亮10次然后停止,當(dāng)輸入20元時(shí)發(fā)光二極管亮20次然后停止。3.1.4總出幣計(jì)數(shù)模塊原理圖如圖3.4所示:圖3.4總出幣計(jì)數(shù)模塊總出幣計(jì)數(shù)模塊主要是由3片161組成。按設(shè)計(jì)要求,將3片161擴(kuò)展成501進(jìn)制計(jì)數(shù)器,也即設(shè)定系統(tǒng)一次可以預(yù)存500枚硬幣,此計(jì)數(shù)器將對(duì)所有輸出硬幣進(jìn)行計(jì)數(shù),當(dāng)500枚硬幣輸出完時(shí)此模塊將輸出一提示信號(hào)(用發(fā)光二極管模擬)用于提示重新放入硬幣,放入硬幣后手動(dòng)對(duì)計(jì)數(shù)器清零。3.2電路元器件的選擇3.2.1CD4511引腳圖及功能圖3.5CD4511原件圖圖3.6CD4511引腳圖表3.2CD4511引腳功能:引腳符號(hào)功能7126ABCD輸入端口3LT燈測(cè)試端4BI消隱端5LE鎖存端1312111091514QAQG7為輸出端BI:4腳是消隱輸入控制端,當(dāng)BI=0時(shí),不管其它輸入端狀態(tài)如何,七段數(shù)碼管均處于熄滅(消隱)狀態(tài),不顯示數(shù)字。

LT:3腳是測(cè)試輸入端,當(dāng)BI=1,LT=0時(shí),譯碼輸出全為1,不管輸入DCBA狀態(tài)如何,七段均發(fā)亮,顯示“8”。它主要用來檢測(cè)數(shù)碼管是否損壞。

LE:鎖定控制端,當(dāng)LE=0時(shí),允許譯碼輸出。LE=1時(shí)譯碼器是鎖定保持狀態(tài),譯碼器輸出被保持在LE=0時(shí)的數(shù)值。

A1、A2、A3、A4、為8421BCD碼輸入端。

a、b、c、d、e、f、g:為譯碼輸出端,輸出為高電平1有效。CD4511的內(nèi)部有上拉電阻,在輸入端與數(shù)碼管筆段端接上限流電阻就可工作。3.2.274LS161引腳圖及功能圖3.774LS161原件圖

圖3.874LS161引腳圖表3.374LS161引腳功能:引腳符號(hào)功能3456D0D1D2D3輸入端口710ENPENT使能端2CLK脈沖輸入端9LOAD預(yù)置端1MR清零端14131211Q0Q1Q2Q3輸出端口15RCO進(jìn)位端從74LS161功能表功能表中可以知道,當(dāng)清零端CR=“0”,計(jì)數(shù)器輸出Q3、Q2、Q1、Q0立即為全“0”,這個(gè)時(shí)候?yàn)楫惒綇?fù)位功能。當(dāng)CR=“1”且LD=“0”時(shí),在CP信號(hào)上升沿作用后,74LS161輸出端Q3、Q2、Q1、Q0的狀態(tài)分別與并行數(shù)據(jù)輸入端D3,D2,D1,D0的狀態(tài)一樣,為同步置數(shù)功能。而只有當(dāng)CR=LD=EP=ET=“1”、CP脈沖上升沿作用后,計(jì)數(shù)器加1。74LS161還有一個(gè)進(jìn)位輸出端CO,其邏輯關(guān)系是CO=Q0·Q1·Q2·Q3·CET。合理應(yīng)用計(jì)數(shù)器的清零功能和置數(shù)功能,一片74LS161可以組成16進(jìn)制以下的任意進(jìn)制分頻器。4軟件簡(jiǎn)介Proteus軟件是英國(guó)Labcenterelectronics公司出版的EDA工具軟件。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。它是目前最好的仿真單片機(jī)及外圍器件的工具。雖然目前國(guó)內(nèi)推廣剛起步,但已受到單片機(jī)愛好者、從事單片機(jī)教學(xué)的教師、致力于單片機(jī)開發(fā)應(yīng)用的科技工作者的青睞。具有4大功能模塊智能原理圖設(shè)計(jì)(ISIS)豐富的器件庫(kù)

超過27000種元器件,可方便地創(chuàng)建新元件;智能的器件搜索:通過模糊搜索可以快速定位所需要的器件;智能化的連線功能:自動(dòng)連線功能使連接導(dǎo)線簡(jiǎn)單快捷,大大縮短繪圖時(shí)間;支持總線結(jié)構(gòu):使用總線器件和總線布線使電路設(shè)計(jì)簡(jiǎn)明清晰;可輸出高質(zhì)量圖紙。ProSPICE混合仿真:基于工業(yè)標(biāo)準(zhǔn)SPICE3F5,實(shí)現(xiàn)數(shù)字/模擬電路的混合仿真;超過27000個(gè)仿真器件:可以通過內(nèi)部原型或使用廠家的SPICE文件自行設(shè)計(jì)仿真器件,Labcenter也在不斷地發(fā)布新的仿真器件,還可導(dǎo)入第三方發(fā)布的仿真器件。獨(dú)特的單片機(jī)協(xié)同仿真功能

支持主流的CPU類型:如ARM7、8051/52、AVR、PIC10/12、PIC16、PIC18、PIC24、dsPIC33、HC11、等。實(shí)用的PCB設(shè)計(jì)平臺(tái)

先進(jìn)的自動(dòng)布局/布線功能;支持器件的自動(dòng)/人工布局;支持無網(wǎng)格自動(dòng)布線或人工布線;支持引腳交換/門交換功能使PCB設(shè)計(jì)更為合理;完整的PCB設(shè)計(jì)功能;可以輸出多種格式文件。5系統(tǒng)調(diào)試5.1系統(tǒng)仿真總圖詳見附錄所示。5.2系統(tǒng)仿真參數(shù)設(shè)置在系統(tǒng)調(diào)試之前,我們需要對(duì)系統(tǒng)進(jìn)行參數(shù)設(shè)置,如表5.1和5.2所示。表5.1元件參數(shù)表元件型號(hào)數(shù)量計(jì)數(shù)器74LVC1617數(shù)據(jù)選擇器74HC45112七段顯示譯碼器2與門6或門3與非門3開關(guān)6揚(yáng)聲器YD57一2型1電源220V二極管2脈沖源1元件型號(hào)數(shù)量報(bào)警揚(yáng)聲器YD57一2型等801滑動(dòng)變阻器POT-HG2電阻(Ω)9c06031A910FKHFT6場(chǎng)管VT66或V40AT1電容器耐壓值為l6V的鋁電解電容器5定時(shí)器NE5552直流電源9V2壓電陶瓷聲傳感器F1'-27、HTD27A-1等型號(hào)1示波器OSCILLOSCOPE15.3功能調(diào)試通過幾天的的理論設(shè)計(jì),為了驗(yàn)證對(duì)理論應(yīng)用的正確性,選用Protues進(jìn)行仿真,驗(yàn)證所設(shè)計(jì)的電路能否實(shí)現(xiàn)兌換硬幣的功能,以及面值顯示、出幣提示的功能。5.3.1硬幣兌換系統(tǒng)電路調(diào)試目的測(cè)試電路能否實(shí)現(xiàn)兌換硬幣的功能,以及面值顯示、出幣提示的功能。顯示電路調(diào)試顯示電路如圖5.1所示:圖5.1顯示電路圖a圖b圖5.2均為顯示測(cè)試圖圖5.2均為仿真時(shí)顯示測(cè)試圖,a圖是輸入20塊錢的時(shí)候,顯示為20,并一直持續(xù)到出完硬幣,氣短發(fā)光二極管數(shù)碼管消隱,無顯示;b圖同理,為輸入5元紙幣時(shí)的情況。計(jì)數(shù)部分電路調(diào)試計(jì)數(shù)部分電路如圖5.3和5.4所示:圖5.3出幣計(jì)數(shù)部分電路由出幣計(jì)數(shù)部分測(cè)試可知,當(dāng)輸入一個(gè)數(shù)時(shí),該部分能夠輸出相應(yīng)數(shù)量的硬幣,當(dāng)出幣結(jié)束時(shí),自動(dòng)結(jié)束出幣。總數(shù)計(jì)數(shù)部分電路調(diào)試總數(shù)計(jì)數(shù)部分電路如圖5.4所示圖5.4總數(shù)計(jì)數(shù)部分電路由總數(shù)計(jì)數(shù)部分測(cè)試可知,當(dāng)系統(tǒng)中的總硬幣兌換完了后,該系統(tǒng)自動(dòng)停止工作。5.4調(diào)試結(jié)果分析5.4.1調(diào)試電路已實(shí)現(xiàn)的功能通過先分步調(diào)試后整體調(diào)試的方法,本設(shè)計(jì)已實(shí)現(xiàn)了硬幣兌換和紙幣識(shí)別功能。5.4.2調(diào)試中遇到的問題和此電路的不足在調(diào)試的過程中遇到了許多的問題,比如說各個(gè)參數(shù)的計(jì)算,對(duì)電路圖的修改等等,在經(jīng)過多次調(diào)試之后,最終達(dá)到了預(yù)期的效果。第19頁(yè)6系統(tǒng)功能指標(biāo)參數(shù)本節(jié)主要介紹時(shí)實(shí)現(xiàn)設(shè)計(jì)的電路。6.1系統(tǒng)實(shí)現(xiàn)的功能此硬幣兌換系統(tǒng)的用途比較廣泛,可以用于公交車站以及其他的自動(dòng)售貨機(jī)等系統(tǒng)旁邊。當(dāng)人們需要在這些地方使用硬幣時(shí),可以就近兌換,十分方便。這個(gè)系統(tǒng)經(jīng)過改進(jìn)之后可以用于更多的場(chǎng)合,也可以用于紙幣的兌換,以及更多錢幣兌換等功能的使用等等。6.2系統(tǒng)指標(biāo)參數(shù)測(cè)試對(duì)于本設(shè)計(jì)系統(tǒng)的參數(shù)測(cè)試,總共分兩步。第一步就是將自己設(shè)計(jì)的電路用軟件protel作出具體的線路圖,用protel自帶的檢測(cè)功能檢測(cè)線路是否連接有誤,直到修改線路至無誤為止。第二步就是將我們的電路的各部分分開進(jìn)行仿真。對(duì)此部分仿真可用到仿真軟件proteus。在對(duì)每個(gè)部分仿真達(dá)到正確結(jié)果后,然后將各個(gè)模塊連接起來進(jìn)行整體測(cè)試。經(jīng)過仿真證明我們?cè)O(shè)計(jì)的硬幣兌換系統(tǒng)電路工作正常。6.3系統(tǒng)功能及指標(biāo)參數(shù)分析 通過前面的參數(shù)計(jì)算和仿真的如系統(tǒng)各元件的參數(shù)表如表6.1所示。表6.1元件參數(shù)表表6.1元件參數(shù)表元件型號(hào)數(shù)量計(jì)數(shù)器74LVC1617數(shù)據(jù)選擇器74HC45112七段顯示譯碼器2與門6或門3與非門3開關(guān)6揚(yáng)聲器YD57一2型1電源220V二極管2脈沖源17結(jié)論經(jīng)過課程設(shè)計(jì)的實(shí)踐說明方案是可行的,此硬幣兌換系統(tǒng)的性能比較可靠,用的元件比較實(shí)惠,容易制作。在現(xiàn)實(shí)生活中有很大的發(fā)展空間,給人們的生活帶來了極大的便利。當(dāng)然此硬幣兌換系統(tǒng)也存在一些不足的地方,系統(tǒng)總是硬幣存放數(shù)比較小,還有系統(tǒng)硬幣兌換完了后應(yīng)該通過單片機(jī)向總部發(fā)出信息等。可以根據(jù)實(shí)際使用情況做相應(yīng)的改進(jìn)。隨著科技的進(jìn)步,新的設(shè)計(jì),新的改進(jìn)方案一定會(huì)使得此錢幣兌換系統(tǒng)的性能越來越好。8設(shè)計(jì)總結(jié)8.1設(shè)計(jì)的收獲體會(huì)通過這次課程設(shè)計(jì),加強(qiáng)了我們動(dòng)手、思考和解決問題的能力,在設(shè)計(jì)過程中,經(jīng)常會(huì)遇到這樣那樣的情況,就是心里想老著這樣的接法可以行得通,但實(shí)際接上電路,總是實(shí)現(xiàn)不了,因此耗費(fèi)在這上面的時(shí)間用去很多。我覺得做課程設(shè)計(jì)同時(shí)也是對(duì)課本知識(shí)的鞏固和加強(qiáng),由于課本上的知識(shí)太多,平時(shí)課間的學(xué)習(xí)并不能很好的理解和運(yùn)用各個(gè)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論