光纖通信常用儀表及測試_第1頁
光纖通信常用儀表及測試_第2頁
光纖通信常用儀表及測試_第3頁
光纖通信常用儀表及測試_第4頁
光纖通信常用儀表及測試_第5頁
已閱讀5頁,還剩174頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

光纖通信常用儀表及測試第一頁,共一百七十九頁,2022年,8月28日9.1引言光纖測試的標(biāo)準(zhǔn)有三類:基礎(chǔ)標(biāo)準(zhǔn)、器件測試標(biāo)準(zhǔn)和系統(tǒng)標(biāo)準(zhǔn)?;A(chǔ)標(biāo)準(zhǔn)用于測試和表征基本的物理參數(shù),如損耗、帶寬、單模光纖的模場直徑和光功率等。在美國,負(fù)責(zé)制定基礎(chǔ)標(biāo)準(zhǔn)的主要組織是國家標(biāo)準(zhǔn)和技術(shù)協(xié)會NIST(NationalInstituteofStandardsandTechnology),它負(fù)責(zé)光纖和激光器標(biāo)準(zhǔn)的制定工作,并發(fā)起了一個(gè)光纖測試年會。其他相應(yīng)的組織有英國國家物理實(shí)驗(yàn)室NPL(NationalPhysicalLaboratory)和德國的PTB(PhysikalischTechnischeBundesanstalt)。第二頁,共一百七十九頁,2022年,8月28日器件測試標(biāo)準(zhǔn)定義了光纖器件性能的相關(guān)測試項(xiàng)目,并建立了設(shè)備校準(zhǔn)程序。由幾個(gè)不同的標(biāo)準(zhǔn)組織負(fù)責(zé)制定測試標(biāo)準(zhǔn),其中最為活躍的有:電信工業(yè)協(xié)會TIA(TelecommunicationIndustriesAssociation)、電氣工業(yè)協(xié)會EIA(ElectronicIndustriesAssociation)、國際電信聯(lián)盟電信標(biāo)準(zhǔn)部ITUT(theTelecommunicationStandardizationSectoroftheInternationalTelecommunicationUnion)和國際電工委員會IEC(InternationalElectrotechnicalCommission)。第三頁,共一百七十九頁,2022年,8月28日

TIA有120多條光纖測試標(biāo)準(zhǔn)和說明,在一般情況下,使用TIA/EIA455XXYY進(jìn)行標(biāo)識,XX指特定的測試技術(shù),YY指公布年份。這些標(biāo)準(zhǔn)也稱為光纖測試程序(FOTP),所以TIA/EIA455XXYY就變成了FOTP-XX。這些標(biāo)準(zhǔn)中還包括大量推薦的測試方法,用來測試光纖、光纜、無源器件和光電器件對環(huán)境因素和工作條件的響應(yīng)。例如,TIA/EIA601997或者FOTP60是測試光纖和光纜長度的方法,公布于1997年。第四頁,共一百七十九頁,2022年,8月28日系統(tǒng)標(biāo)準(zhǔn)是指鏈路和網(wǎng)絡(luò)的測試方法。負(fù)責(zé)系統(tǒng)標(biāo)準(zhǔn)的主要組織是美國國家標(biāo)準(zhǔn)協(xié)會(ANSI)、電子電氣工程師協(xié)會(IEEE)和ITUT。對光纖系統(tǒng)的測試應(yīng)特別注重的是來自ITUT的測試標(biāo)準(zhǔn)和建議。目前已公布的和即將公布的ITUT建議適合于光網(wǎng)絡(luò)的各個(gè)方面,包括以下內(nèi)容:第五頁,共一百七十九頁,2022年,8月28日

(1)G.ons建議:“光傳送網(wǎng)的網(wǎng)絡(luò)節(jié)點(diǎn)接口”,包括光層功能開銷的定義,例如傳輸波長的管理等。

(2)G.872建議:“光傳送網(wǎng)的結(jié)構(gòu)”,公布于1999年2月。

(3)G.798建議:給出光網(wǎng)絡(luò)單元的功能特性。

(4)G.onc建議:“光網(wǎng)絡(luò)器件和子系統(tǒng)”,提出了器件和子系統(tǒng)傳輸方面的問題,例如分插復(fù)用器和光交叉連接。第六頁,共一百七十九頁,2022年,8月28日

(5)G.983建議:“基于無源光網(wǎng)絡(luò)的高速光接入系統(tǒng)”,公布于1998年10月。建議:“光網(wǎng)絡(luò)物理層”,提出了點(diǎn)到點(diǎn)的WDM系統(tǒng),以優(yōu)化長距離傳送。

(7)G.onm建議:主要處理“光網(wǎng)絡(luò)單元管理”中的問題。

(8)G.871建議:“光網(wǎng)絡(luò)單元框架”,給出了各種建議與制定它們的理論基礎(chǔ)之間的聯(lián)系。第七頁,共一百七十九頁,2022年,8月28日9.2光纖測試以及光時(shí)域反射儀OTDR

9.2.1光纖測試參數(shù)光纖的測試參數(shù)有很多,主要有:

(1)幾何特性參數(shù):包括光纖的纖芯直徑、包層直徑、纖芯不圓度、包層不圓度、芯包同心誤差。

(2)光學(xué)特性參數(shù):包括單模光纖的模場直徑、截止波長等,多模光纖的折射率分布、數(shù)值孔徑等。第八頁,共一百七十九頁,2022年,8月28日

(3)傳輸特性參數(shù):包括衰減系數(shù)、單模光纖的色散系數(shù)、多模光纖的帶寬。

(4)機(jī)械特性參數(shù):包括光纖的抗拉強(qiáng)度、疲勞因子等。

(5)溫度特性參數(shù):包括衰減的溫度附加損耗、時(shí)延溫度等。光纖的每一種參數(shù)都有幾種不同的測試或?qū)嶒?yàn)方法,本章只介紹其中的幾種方法。第九頁,共一百七十九頁,2022年,8月28日

9.2.2光纖損耗和色散測試

1.光纖測試的注入條件

1)多模光纖的注入條件光能耦合進(jìn)多模光纖時(shí)會激勵(lì)起很多模式,各個(gè)模式所攜帶的光能量是不同的,傳輸時(shí)的損耗也不同,模式之間還有能量轉(zhuǎn)換,只有經(jīng)過一個(gè)相當(dāng)長的時(shí)間以后才能達(dá)到一種相對穩(wěn)定的狀態(tài),此時(shí)稱為穩(wěn)態(tài)模式。對于多模光纖的測試,只有達(dá)到穩(wěn)態(tài)模式分布以后才有意義。第十頁,共一百七十九頁,2022年,8月28日使多模光纖達(dá)到穩(wěn)態(tài)分布的注入方式有兩種,分別是滿注入和限制注入。滿注入就是要均勻地激勵(lì)起所有的傳導(dǎo)模式;限制注入就是只激勵(lì)起較低損耗的低階模,而適當(dāng)抑制損耗較大的高階模。當(dāng)測試光纖的損耗時(shí),采用限制注入方式,因?yàn)閾p耗較大的高階模的注入,會由于被測光纖長度的不同而使輸出光功率不同,從而產(chǎn)生測試誤差;當(dāng)測試光纖色散時(shí),則采用滿注入方式,因?yàn)樯⒌臏y試是由光脈沖通過傳輸后的脈沖時(shí)間展寬來確定的,如果采用限制注入,會使功率在不同模式上的分布產(chǎn)生較大變化,致使光脈沖的展寬程度不同,測試結(jié)果就不準(zhǔn)確。第十一頁,共一百七十九頁,2022年,8月28日要達(dá)到穩(wěn)態(tài)分布,需要借助以下幾種設(shè)備:

(1)擾模器,即采用強(qiáng)烈的幾何擾動(dòng),使多模光纖不需要很長的距離就能迅速達(dá)到穩(wěn)態(tài)分布。

(2)濾模器,濾除不需要的瞬態(tài)?;蚱渌恍枰膫鲗?dǎo)模,這些模損耗較大,對光纖稍加彎曲就可衰減掉。第十二頁,共一百七十九頁,2022年,8月28日

(3)包層模剝除器,即除去不需要的包層中的非傳導(dǎo)輻射模。當(dāng)涂敷層折射率比包層低時(shí),輻射模會在包層與涂敷層之間反射,并在包層中傳輸。方法是把涂敷層去掉,把光纖浸在折射率比包層稍大的匹配液中。當(dāng)光纖本身涂敷層的折射率大于包層折射率時(shí)就不會產(chǎn)生包層模,不需要去除。第十三頁,共一百七十九頁,2022年,8月28日

2.光纖損耗的測試損耗測試一般有三種方法:截?cái)喾?、插入法和后向散射法?/p>

1)截?cái)喾ń財(cái)喾ㄊ且环N破壞性的測試方法,需要在接入光纖的兩端測試光功率,如圖9.1所示。第十四頁,共一百七十九頁,2022年,8月28日圖9.1截?cái)喾y試光纖第十五頁,共一百七十九頁,2022年,8月28日可以在一個(gè)或多個(gè)波長上測試損耗。如果要測試頻譜響應(yīng),則需要在一個(gè)波段內(nèi)進(jìn)行。為了獲得傳輸損耗,首先需要測試光纖輸出端(或遠(yuǎn)端)的輸出光功率PF,然后在不破壞輸入條件的情況下,在離光源幾米的地方截?cái)喙饫w,測試近端輸出光功率PN。以dB/km為單位的平均損耗α為其中,L是兩個(gè)測試點(diǎn)之間的距離。第十六頁,共一百七十九頁,2022年,8月28日

2)插入法插入法具有非破壞性的特點(diǎn),但不如截?cái)喾ň_。如圖9.2所示,為了進(jìn)行損耗測試,首先將帶有一段發(fā)射光纖的連接器與接收系統(tǒng)的連接器相連,并記錄下發(fā)射光功率電平P1(λ),然后將待測光纜接入發(fā)射和接收系統(tǒng)之間,并記錄下接收光功率電平P2(λ),則以dB為單位的光纜損耗α為(9.1)第十七頁,共一百七十九頁,2022年,8月28日連接器質(zhì)量會影響測試精度,上式給出的損耗值是成纜光纖的損耗與發(fā)射端連接器和光纜連接器的損耗之和。第十八頁,共一百七十九頁,2022年,8月28日圖9.2插入法測試損耗第十九頁,共一百七十九頁,2022年,8月28日

3)后向散射法后向散射法是通過光纖中后向散射光信號來提取光纖衰減及其他信息的,諸如光纖光纜的光學(xué)連續(xù)性、物理缺陷、接頭損耗和光纖長度等,是一種間接測試均勻樣品衰減的方法。假設(shè)輸入光信號功率為P0,傳輸?shù)骄嚯x輸入端距離為z處發(fā)生散射,部分光向后反射回輸入端。光纖的衰減系數(shù)是距離z的函數(shù),假設(shè)正向傳輸時(shí)的衰減系數(shù)為αi(z),反向傳輸時(shí)的衰減系數(shù)為αS(z),則正向光功率為第二十頁,共一百七十九頁,2022年,8月28日反射后,向后反射光的功率和P(z)的比值成為后向散射系數(shù),用S表示,它和光纖的結(jié)構(gòu)參數(shù)(芯徑、相對折射率差)有關(guān)。后向散射光的功率PS(z)可以表示為(9.2)(9.3)第二十一頁,共一百七十九頁,2022年,8月28日將式(9.2)代入式(9.3),可得(9.4)如果光纖從0到z的平均衰減系數(shù)為α(z),則有(9.5)第二十二頁,共一百七十九頁,2022年,8月28日則任意兩點(diǎn)z1、z2之間的平均衰減系數(shù)為(9.6)從這個(gè)式子可以看出,只要能測出z1、z2點(diǎn)散射光返回的光功率以及z1、z2兩點(diǎn)之間的距離,就可以算出平均衰減系數(shù)。這種測試是由光時(shí)域反射計(jì)OTDR來完成的。第二十三頁,共一百七十九頁,2022年,8月28日

3.光纖色散的測試數(shù)字信號在光纖中傳輸時(shí)是由不同的頻率成分或不同的模式成分來攜帶的。這些不同的頻率成分或模式成分有不同的傳輸速度,當(dāng)它們在光纖中傳輸一段距離后將互相散開,于是光脈沖被展寬,這種現(xiàn)象就是色散。色散特性可以從時(shí)域或頻域兩方面描述,光脈沖在時(shí)間上的展寬實(shí)際上是從時(shí)域特性來描述光纖的色散效應(yīng)的,而光纖的頻域特性則是指光纖中每個(gè)頻率成分的失真。第二十四頁,共一百七十九頁,2022年,8月28日

1)多模光纖的色散測試假設(shè)光纖的輸入/輸出脈沖波形都近似為高斯分布,如圖9.3所示。圖9.3(a)為輸入脈沖,幅度為A1,則A1/2所對應(yīng)的寬度Δτ1是這個(gè)脈沖的寬度。圖9.3(b)為輸出脈沖,假設(shè)幅度為A2,則A2/2所對應(yīng)的寬度Δτ2是這個(gè)脈沖的寬度。經(jīng)證明,經(jīng)光纖傳輸后的脈沖展寬Δτ、Δτ1和Δτ2的關(guān)系是(9.7)第二十五頁,共一百七十九頁,2022年,8月28日圖9.3假設(shè)光纖的輸入/輸出脈沖波形都近似為高斯分布第二十六頁,共一百七十九頁,2022年,8月28日所以只要測出Δτ1和Δτ2,就可以得到脈沖展寬Δτ。如果輸入脈沖Pin(t)對應(yīng)的頻譜函數(shù)是Pin(f),輸出脈沖Pout(t)對應(yīng)的頻譜函數(shù)為Pout(f),那么光纖的頻率響應(yīng)特性H(f)為(9.8)第二十七頁,共一百七十九頁,2022年,8月28日當(dāng)輸出頻譜下降為輸入頻譜的一半時(shí),對應(yīng)的頻率為光纖的帶寬,用fc表示,即H(fc)=1/2,有10lgH(fc)=-3dB。實(shí)際測試時(shí),一般把光功率變?yōu)殡娦盘柼幚?,即I(f),則有即6dB電帶寬對應(yīng)3dB光帶寬。第二十八頁,共一百七十九頁,2022年,8月28日由于輸入/輸出脈沖具有高斯波形,因此可得光纖的帶寬B(即fc)和脈沖的展寬時(shí)間有如下關(guān)系:(9.9)所以如果測得光纖的脈沖時(shí)延,就可以求得帶寬B。第二十九頁,共一百七十九頁,2022年,8月28日

ITUT規(guī)定的基準(zhǔn)測試方法有兩種:時(shí)域法和頻域法。

(1)時(shí)域法:測試框圖如圖9.4所示。測試步驟為:先用脈沖發(fā)生器調(diào)制光源,使光源發(fā)出窄脈沖信號,且使其波形盡量接近高斯分布,注入方式采用滿注入方式;接著用一根短光纖將連接點(diǎn)1和2相連,此時(shí)在輸出示波器中得到的是Pin(t),并測試它的寬度Δτ1;然后把待測光纖從接頭1和2之間接入,同樣的輸入條件下,在示波器中得到的波形相當(dāng)于Pout(t),測試它的寬度Δτ2;將這兩個(gè)值帶入式(9.7),則得到此光纖的脈沖展寬Δτ;最后利用式(9.9)可計(jì)算光纖帶寬B。第三十頁,共一百七十九頁,2022年,8月28日圖9.4時(shí)域法測試色散原理框圖第三十一頁,共一百七十九頁,2022年,8月28日

(2)頻域法:

讀取的是頻率信號的幅值變化。測試原理框圖如圖9.5所示。頻譜儀讀取的光纖電信號幅值下降6dB所對應(yīng)的頻率就是光信號的3dB帶寬。第三十二頁,共一百七十九頁,2022年,8月28日圖9.5頻域法測試光纖色散的原理框圖第三十三頁,共一百七十九頁,2022年,8月28日頻域法的測試步驟為:掃頻發(fā)生器輸出一個(gè)幅度不變但頻率連續(xù)可調(diào)的正弦信號,對光源進(jìn)行強(qiáng)度調(diào)制,得到幅度相同而頻率變化的光正弦信號,注入時(shí)依然采用滿注入方式;接著將1、2兩點(diǎn)用短光纖相連,此時(shí)頻譜儀讀取的是隨頻率變化的輸入信號頻譜

PIin(f);再把被測光纖連在1、2兩點(diǎn)之間,此時(shí)從頻譜儀中得到的是隨輸入頻率變化的頻譜PIout(f),把它們繪制成頻譜曲線,對應(yīng)在6dB上的頻率即為光纖帶寬。第三十四頁,共一百七十九頁,2022年,8月28日

2)單模光纖的色散測試單模光纖中沒有模間色散,只有色度色散(頻率色散),色散和光源譜寬密切相關(guān),光源譜寬越窄,色散越小,帶寬越大,通常用色散系數(shù)表示色散D的大小,即(9.10)第三十五頁,共一百七十九頁,2022年,8月28日

D為單位長度上單位波長間隔內(nèi)的光波在光纖上產(chǎn)生的平均時(shí)延差。此時(shí)光纖帶寬與色散系數(shù)的關(guān)系為(9.11)其中,Δλ為光源譜寬。第三十六頁,共一百七十九頁,2022年,8月28日

ITUT對不同的光纖色散系數(shù)和相關(guān)參數(shù)規(guī)定如下:

(1)G.652光纖:零色散波長在1310nm附近,工作波長在1270~1340nm范圍,其單位長度的群時(shí)延與波長的關(guān)系可以近似表示為(9.12)第三十七頁,共一百七十九頁,2022年,8月28日其中:τ0為零色散波長λ0處的相對最小群時(shí)延;S0是λ0處的色散斜率值,其單位為ps/(nm2·km)。將τ(λ)對λ微分就可得到色散系數(shù)D(λ):[ps/(nm·km)](9.13)第三十八頁,共一百七十九頁,2022年,8月28日

(2)G.653光纖:工作波長和零色散波長均在

1550nm附近,單位長度光纖的群時(shí)延與波長的關(guān)系近似表示為(ps/km)

(9.14)色散系數(shù)表示為D(λ)=S0(λ-λ0)[ps/(nm·km)](9.15)第三十九頁,共一百七十九頁,2022年,8月28日

(3)G.654光纖:零色散波長在1310nm附近,工作波長卻在1550nm波長區(qū),其單位長度群時(shí)延與波長的關(guān)系近似表示為(ps/km)

(9.16)色散系數(shù)表示為D(λ)=S1550(λ-1550)+D1550

[ps/(nm·km)]

(9.17)其中,τ1550、S1550、D1550分別是波長為1550nm時(shí)這種光纖的相對群時(shí)延、色散斜率和色散系數(shù)。第四十頁,共一百七十九頁,2022年,8月28日(1)相移法:

本質(zhì)是通過比較基帶調(diào)制信號在不同波長下的相位來確定色散特性。假設(shè)光源的調(diào)制頻率為f(MHz),經(jīng)過長度為L(km)的光纖的傳輸后,波長λi(i=1,2,…,n)相對于參考頻率λf的傳輸時(shí)延差為Δti,相移差為Δφi,則Δφi=2πfΔti(ps);于是每千米的平均時(shí)延差τi=Δti/L(ps/km)。這樣,通過測試不同波長λi下的Δφi,再根據(jù)式(9.17)計(jì)算出相應(yīng)的τi,由上面給出的不同光纖的群時(shí)延公式τ(λ)得到有關(guān)系數(shù),就可進(jìn)一步得到該光纖的色散系數(shù)D。測試的原理框圖如圖9.6所示。第四十一頁,共一百七十九頁,2022年,8月28日圖9.6單模光纖的色散測試原理框圖第四十二頁,共一百七十九頁,2022年,8月28日圖中,光源可以是可調(diào)激光器、激光陣列或多個(gè)二極管;波長選擇器可以是光開關(guān)、單色儀、濾光片或別的色散器件;探測器要滿足要求的信噪比和時(shí)間分辨率;信號處理器是相移計(jì)。

第四十三頁,共一百七十九頁,2022年,8月28日(2)脈沖時(shí)延法:

通過測試經(jīng)同一窄脈沖調(diào)制后的不同波長的光信號經(jīng)光纖傳輸后產(chǎn)生的時(shí)延差,直接按定義計(jì)算出色散系數(shù)。被測信號的不同波長信號λi(i=1,2,…,n)經(jīng)過長度為L的光纖后,和參考信號λf的時(shí)延差為Δti,則單位長度的平均時(shí)延差τi=Δti/L(ps/km),此后的方法和相移法一樣,測試裝置同圖9.6所示。此時(shí)的信號處理器為一個(gè)取樣示波器,得到的是脈沖時(shí)延而不是相移。第四十四頁,共一百七十九頁,2022年,8月28日

9.2.3光時(shí)域反射儀OTDROTDR(OpticalTimeDomainReflectometer)的原理是光脈沖的瑞利散射。由于瑞利散射光具有和入射波長同樣的波長,且功率與該點(diǎn)的入射光功率成正比,因此通過測試沿光纖返回的反向光功率就可以獲得入射光沿光纖傳輸路徑所受到的損耗特性,并且還可以通過分析返回光信號的時(shí)間來確定待測光纖中不完善點(diǎn)的位置以及待測光纖的總長度。

第四十五頁,共一百七十九頁,2022年,8月28日

OTDR的原理框圖如圖9.7所示。圖中的主時(shí)鐘產(chǎn)生標(biāo)準(zhǔn)時(shí)鐘信號,脈沖發(fā)生器根據(jù)這個(gè)時(shí)鐘產(chǎn)生符合要求的窄脈沖,并用它來調(diào)制光源;光定向耦合器將光源發(fā)出的光耦合到被測光纖,同時(shí)將散射和反射信號耦合進(jìn)光檢測器,經(jīng)放大及信號處理后送入示波器,顯示輸出波形及在數(shù)據(jù)輸出系統(tǒng)輸出的有關(guān)數(shù)據(jù)。要進(jìn)行信號處理的原因是后向散射光非常微弱,淹沒在噪聲中,只有采用取樣積分器對微弱散射光進(jìn)行取樣求和,隨機(jī)噪聲抵消,才能將散射信號取出。第四十六頁,共一百七十九頁,2022年,8月28日圖9.7OTDR的原理框圖第四十七頁,共一百七十九頁,2022年,8月28日圖9.8典型OTDR曲線第四十八頁,共一百七十九頁,2022年,8月28日圖9.8是一條典型的測試曲線。其中:a點(diǎn)為光纖的輸入端,是由耦合設(shè)備和光纖輸入端端面產(chǎn)生的菲涅爾(Fresnel)反射信號,并且此處的光信號最強(qiáng);b點(diǎn)有一突降,說明此處有一接頭或存在其他的缺陷所引起的高損耗;c點(diǎn)突然有一個(gè)上升,說明此處有光纖的斷裂面,引起Fresnel反射;d點(diǎn)為光纖的終點(diǎn),是由輸出端引起的Fresnel反射。在這個(gè)曲線中,由于eb段和bc段是逐漸降低的近似直線,說明這兩段光纖是均勻的,而bc段曲線下降更平緩,說明這段光纖的衰減系數(shù)比前段要小。在cd段,曲線不是直線,說明這段光纖軸向結(jié)構(gòu)不太均勻。第四十九頁,共一百七十九頁,2022年,8月28日如果在e點(diǎn)和b點(diǎn)測得的光功率為P1和P2(單位為dB,采用對數(shù)刻度),兩點(diǎn)之間的長度為L,則這段光纖的衰減系數(shù)為(9.18)第五十頁,共一百七十九頁,2022年,8月28日若光脈沖從起點(diǎn)到尾端再反射回到起點(diǎn)所經(jīng)歷的時(shí)間為t0,則可以得到光纖的長度L為(9.19)其中,c為真空中的光速,n(λ)為光纖中材料的群折射率。通過分析這條后向散射曲線,可以確定光纖線路中的缺陷、斷裂點(diǎn)、接頭位置以及被測光纖的長度。第五十一頁,共一百七十九頁,2022年,8月28日

OTDR的兩個(gè)主要參數(shù)是動(dòng)態(tài)范圍和測試范圍。動(dòng)態(tài)范圍是指初始后向散射光功率電平與在測試3分鐘之后的噪聲電平之差,它是以dB為單位的光纖損耗的一種表示方法。動(dòng)態(tài)范圍提供了儀器能測試的光纖損耗最大值的信息,指出了測試給定的光纖損耗所需要的時(shí)間,所以它通常用于表示OTDR的測試能力。第五十二頁,共一百七十九頁,2022年,8月28日動(dòng)態(tài)范圍與分辨率之間的矛盾是制約OTDR的一個(gè)基本因素。要獲得高的空間分辨率,脈沖寬度必須盡可能小,然而這樣會降低信噪比,從而減小動(dòng)態(tài)范圍。測試范圍表征了OTDR鑒別光纖鏈路發(fā)生故障的能力,如接頭點(diǎn)、連接點(diǎn)和光纖斷裂點(diǎn)。它的定義是使OTDR仍能進(jìn)行準(zhǔn)確測試的故障與OTDR之間所允許的最大損耗。第五十三頁,共一百七十九頁,2022年,8月28日9.3光功率計(jì)與光端機(jī)的測試

9.3.1光功率計(jì)在光纖通信的測試中,許多重要參數(shù)的測試實(shí)際上都是對光功率的測試。測試光功率的方法有熱學(xué)法和光電法。熱學(xué)法在波長特性、測試精度等方面較好,但響應(yīng)速度慢,靈敏度低,設(shè)備體積大。而光電法有較快的響應(yīng)速度,良好的線性特性,并且靈敏度高,測試范圍大,但其波長特性和測試精度不如熱學(xué)法。在光通信中,光功率一般較弱,范圍約為nW級到mW級,因此普遍采用靈敏度較高的光電法。第五十四頁,共一百七十九頁,2022年,8月28日光電法采用光檢測器檢測光功率,實(shí)際上是測試光檢測器在受輻射后產(chǎn)生的微弱電流,該電流與入射到光敏面上的光功率成正比,因此實(shí)際上這種光功率計(jì)是一種半導(dǎo)體光電傳感器與電子電路組成的放大和數(shù)據(jù)處理單元組合。光功率計(jì)的主要技術(shù)指標(biāo)有:

(1)波長范圍:不同的半導(dǎo)體材料響應(yīng)的光波長范圍不同,為了覆蓋較大的波長范圍,一個(gè)光功率計(jì)可以配備幾個(gè)不同的探測頭。

(2)光功率測試范圍:主要由光探測器的靈敏度和主機(jī)的動(dòng)態(tài)范圍決定。第五十五頁,共一百七十九頁,2022年,8月28日

9.3.2光端機(jī)的測試在光纖通信系統(tǒng)中,光端機(jī)與光纖的連接點(diǎn)稱為光接口;光端機(jī)與數(shù)字設(shè)備的連接點(diǎn)稱為電接口,如圖9.9所示。光接口有兩個(gè):一個(gè)稱為“S”,光端機(jī)由此向光纖發(fā)送光信號;另一個(gè)稱為“R”,光端機(jī)由此接收從光纖傳來的光信號。電接口也有兩個(gè):一個(gè)為“A”,數(shù)字復(fù)用設(shè)備輸出的PCM信號由此傳給光端機(jī);另一個(gè)為“B”,光端機(jī)由此向數(shù)字設(shè)備輸出接收到的PCM信號。因此,光端機(jī)的測試指標(biāo)也分為兩大類:一類是光接口指標(biāo),另一類是電接口指標(biāo)。第五十六頁,共一百七十九頁,2022年,8月28日圖9.9光端機(jī)的光接口和電接口第五十七頁,共一百七十九頁,2022年,8月28日

1.光接口的指標(biāo)與測試光接口的指標(biāo)主要有四個(gè):平均發(fā)送光功率,消光比,光接收機(jī)靈敏度和光接收機(jī)動(dòng)態(tài)范圍。

1)平均發(fā)送光功率

光端機(jī)的平均發(fā)送光功率是在正常工作條件下光端機(jī)輸出的平均光功率,即光源尾纖輸出的平均光功率。平均光功率的指標(biāo)與實(shí)際的光纖線路有關(guān)。在長距離的光纖通信數(shù)字系統(tǒng)中,要求有較大的平均發(fā)送光功率;而在短距離的光纖通信系統(tǒng)中,則要求有較小的平均發(fā)送光功率。第五十八頁,共一百七十九頁,2022年,8月28日平均發(fā)送光功率的測試框圖如圖9.10所示。測試時(shí)要注意,各種指標(biāo)的測試都要送入測試信號。自光端機(jī)A點(diǎn)送入PCM測試信號,不同碼速的光纖數(shù)字通信系統(tǒng)要求送入不同的PCM測試信號。例如,速率為2048kb/s和8448kb/s的光端機(jī)送入215-1的偽隨機(jī)序列碼,速率為34368kb/s和139264kb/s的光端機(jī)送入223-1偽隨機(jī)序列碼,并且2048kb/s、8448kb/s和34368kb/s的碼型為HDB3,而139264kb/s的碼型為CMI碼。第五十九頁,共一百七十九頁,2022年,8月28日圖9.10平均發(fā)送光功率的測試第六十頁,共一百七十九頁,2022年,8月28日測試時(shí)送入正常工作時(shí)的工作信號,此時(shí)光功率計(jì)上讀取的數(shù)值即為平均發(fā)送光功率。應(yīng)當(dāng)注意的是:平均光功率與PCM信號的碼型有關(guān),NRZ碼和占空比為50%的RZ碼相比,前者比后者平均光功率要大3dB;另外,光源的平均輸出光功率與注入它的電流大小有關(guān),測試時(shí)應(yīng)在正常工作的注入電流條件下進(jìn)行。第六十一頁,共一百七十九頁,2022年,8月28日

2)消光比消光比是光端機(jī)發(fā)送部分的質(zhì)量指標(biāo)之一,定義為第六十二頁,共一百七十九頁,2022年,8月28日其中,P00是光端機(jī)輸入信號脈沖為全“0”碼時(shí)輸出的平均光功率,P11為光端機(jī)輸入信號脈沖為全“1”碼時(shí)輸出的平均光功率。從LD的P-I曲線知道,當(dāng)輸入信號為“0”時(shí),輸出并不為0,因?yàn)樵谝粋€(gè)偏置電流Ib的作用下,輸出為熒光。我們希望Ib越小越好,這樣就可以提高消光比及接收機(jī)的靈敏度。第六十三頁,共一百七十九頁,2022年,8月28日但另一方面,Ib減小,會使光源輸出功率降低,譜線寬度增加,并產(chǎn)生對光源特性的其他不利影響。因此要全面考慮Ib影響,一般要求EXT<0.1。當(dāng)EXT=0.1時(shí),使APD光接收機(jī)降低1.8dB,使PIN光接收機(jī)靈敏度降低0.9dB。當(dāng)光源是LED時(shí),一般不考慮消光比,因?yàn)樗患悠秒娏?,所以無輸入信號時(shí)輸出也為零。

LD消光比測試圖也如圖9.10所示,輸入全“0”碼即斷掉輸入信號(一般將編碼盤拔出)時(shí)測得的光功率為P00。

第六十四頁,共一百七十九頁,2022年,8月28日輸入光端機(jī)的信號一般是偽隨機(jī)碼,它的“0”碼和“1”碼的出現(xiàn)概率是相等的,因此測試的偽隨機(jī)序列信號的光功率PT是全“1”碼時(shí)的光功率的一半,即P11=2PT。所以消光比為第六十五頁,共一百七十九頁,2022年,8月28日

3)接收機(jī)靈敏度接收機(jī)靈敏度是指在滿足給定誤碼率或信噪比的條件下,光端機(jī)能夠接收到的最小平均光功率。靈敏度是光端機(jī)的重要性能指標(biāo),它表示了光端機(jī)接收微弱信號的能力,從而決定了系統(tǒng)的中繼段距離,是系統(tǒng)設(shè)計(jì)的重要依據(jù)。

第六十六頁,共一百七十九頁,2022年,8月28日在測試光接收機(jī)靈敏度時(shí),首先要確定系統(tǒng)所要求的誤碼率指標(biāo)。對不同長度和不同應(yīng)用的光纖數(shù)字通信系統(tǒng),其誤碼率指標(biāo)是不一樣的。不同的誤碼率指標(biāo),要求的接收機(jī)靈敏度也不同。要求的誤碼率越小,靈敏度就越低,即要求接收的光功率就越大。此外,靈敏度還和系統(tǒng)的碼速、接收端光電檢測器的類型有關(guān)。接收機(jī)靈敏度的測試框圖如圖9.11所示。第六十七頁,共一百七十九頁,2022年,8月28日圖9.11接收機(jī)靈敏度測試原理框圖第六十八頁,共一百七十九頁,2022年,8月28日測試步驟如下:

(1)按照測試框圖連接線路。

(2)由誤碼儀向光端機(jī)送入測試信號,對不同信號的選擇和光功率測試時(shí)相同。

(3)調(diào)節(jié)可變衰減器,逐步增大衰減,這時(shí)誤碼率會逐步增高,直到出現(xiàn)要求的誤碼率,例如1×10-11,并在一定的觀察時(shí)間內(nèi)保持穩(wěn)定,表明已到達(dá)系統(tǒng)要求的誤碼率臨界狀態(tài)。

第六十九頁,共一百七十九頁,2022年,8月28日

(4)在R點(diǎn)斷開光端機(jī)的連接器,用光纖測試線連接R點(diǎn)和光功率計(jì),此時(shí)測得的光功率值即為光接收機(jī)的最小可接收光功率。

在測試時(shí)需要注意的是,誤碼率是一個(gè)統(tǒng)計(jì)平均值,只有當(dāng)測試時(shí)間足夠長時(shí),測試結(jié)果才準(zhǔn)確,并且測試時(shí)間與系統(tǒng)的碼速和誤碼率有關(guān),碼速越高,誤碼率越大,測試時(shí)間越短。第七十頁,共一百七十九頁,2022年,8月28日

4)動(dòng)態(tài)范圍接收機(jī)接收到的信號功率過小,會產(chǎn)生誤碼,但是如果接收的光信號過大,又會使接收機(jī)內(nèi)部器件過載,同樣產(chǎn)生誤碼。所以為了保證系統(tǒng)的誤碼特性,需要保證輸入信號在一定的范圍內(nèi)變化,光接收機(jī)這種適應(yīng)輸入信號在一定范圍內(nèi)變化的能力稱為光接收機(jī)的動(dòng)態(tài)范圍,它可以表示為式中,在滿足誤碼條件下,Pmax是允許接收到的最大光功率,Pmin是接收機(jī)靈敏度。第七十一頁,共一百七十九頁,2022年,8月28日測試框圖如圖9.12所示,它和接收機(jī)靈敏度測試框圖略有不同,去除了光纖線路對誤碼的影響。圖9.12接收機(jī)動(dòng)態(tài)范圍測試原理框圖第七十二頁,共一百七十九頁,2022年,8月28日測試步驟如下:

(1)按照框圖將線路連好,送入所需的測試信號。

(2)減小可變衰減器的衰減量,使接收光功率逐漸增大,出現(xiàn)誤碼后,增加光衰減量,直到誤碼率剛好回到規(guī)定值并穩(wěn)定一定時(shí)間后,在R點(diǎn)接上光功率計(jì)讀取的功率值即為Pmax。第七十三頁,共一百七十九頁,2022年,8月28日

(3)繼續(xù)增大衰減量,直到出現(xiàn)較大誤碼的臨界狀態(tài)并穩(wěn)定一定時(shí)間后,測得的光功率為Pmin。根據(jù)公式計(jì)算可得動(dòng)態(tài)范圍D。需要注意的是,動(dòng)態(tài)范圍的測試也要考慮測試時(shí)間的長短,只有在較長時(shí)間內(nèi)系統(tǒng)處于誤碼要求指標(biāo)以內(nèi)的條件下測得的功率值才是正確的。第七十四頁,共一百七十九頁,2022年,8月28日

2.電接口的指標(biāo)測試圖9.9中的A點(diǎn)及B點(diǎn)均為電接口,通常A點(diǎn)稱為輸入口,B點(diǎn)稱為輸出口。在輸入口和輸出口都需要測試的指標(biāo)(稱為一般指標(biāo))有比特率及容差,發(fā)射損耗;在輸入口測試的指標(biāo)有輸入口允許衰減和抗干擾能力、輸入抖動(dòng)容限;在輸出口測試的指標(biāo)有輸出口脈沖波形、無輸入抖動(dòng)的輸出抖動(dòng)容限等。第七十五頁,共一百七十九頁,2022年,8月28日

1)碼速偏移在ITU-T的建議中,對各種系統(tǒng)的碼速或時(shí)鐘頻率給出了一定的容差,當(dāng)輸入信號的碼速或時(shí)鐘頻率在該范圍內(nèi)變化時(shí),系統(tǒng)能正常工作,不產(chǎn)生誤碼。容許偏差的范圍如表9.1所示。表中的容許偏差用ppm表示,含義是百萬分之一的意思。例如2048kb/s的碼速,容許偏差為±50ppm,實(shí)際的碼速偏移為±(2048×103×50×10-6)=±102b/s。ppm值越大,并不表示容許的碼速偏移越大,實(shí)際容許的碼速偏移的大小要由計(jì)算結(jié)果來確定。碼速越高,容許的ppm值應(yīng)越小。第七十六頁,共一百七十九頁,2022年,8月28日表9.1電接口標(biāo)稱比特率及容差第七十七頁,共一百七十九頁,2022年,8月28日輸入口碼速容許偏差的測試框圖如圖9.13所示。測試時(shí),調(diào)高或調(diào)低碼型發(fā)生器的比特率,直到在誤碼儀上出現(xiàn)誤碼,然后回調(diào),讀出使得剛好不出現(xiàn)誤碼的臨界比特率,則碼型發(fā)生器上的最高或最低碼速之差即為正、負(fù)方向的最大容差。第七十八頁,共一百七十九頁,2022年,8月28日圖9.13輸入口碼速容許偏差的測試框圖第七十九頁,共一百七十九頁,2022年,8月28日測試輸出口碼速偏差時(shí),需要先測得輸出口的比特率。在圖9.13的輸出口處接一個(gè)數(shù)字測試儀及數(shù)字式頻率計(jì),數(shù)字式頻率計(jì)從接收信號中提取時(shí)鐘,由頻率計(jì)根據(jù)提取的時(shí)鐘給出信號的比特率。它與標(biāo)稱比特率之間的差值應(yīng)在表9.1所示的容差范圍內(nèi)。第八十頁,共一百七十九頁,2022年,8月28日

2)反射損耗當(dāng)光端機(jī)的輸入阻抗ZX和傳輸電纜的特性阻抗ZC不相等時(shí),就會在光端機(jī)接口處產(chǎn)生反射,反射信號和入射信號疊加,就會使光端機(jī)接口處的信號失真,造成誤碼。為了保證數(shù)字傳輸系統(tǒng)的指標(biāo)要求,我們希望發(fā)射信號盡可能小,發(fā)射損耗盡可能大。用bp來表示反射損耗,定義為第八十一頁,共一百七十九頁,2022年,8月28日一般ZC=75Ω。如果ZX=ZC,則沒有反射信號,即此時(shí)反射損耗最大。對于實(shí)際的光端機(jī),電接口不可能完全阻抗匹配,為了保證系統(tǒng)正常工作,反射損耗應(yīng)達(dá)到規(guī)定值。表9.2給出了對輸入口的反射損耗要求。第八十二頁,共一百七十九頁,2022年,8月28日表9.2電接口反射損耗指標(biāo)第八十三頁,共一百七十九頁,2022年,8月28日光端機(jī)輸入口和輸出口的反射損耗測試方法相同,圖9.14為輸入口測試框圖。振蕩器發(fā)出測試所需的電信號,75Ω電橋提供標(biāo)準(zhǔn)75Ω阻抗,選頻表用于測試某一頻率下的電信號功率值。測試時(shí),首先斷開ZX,調(diào)整振蕩器輸出,此時(shí)選頻表的指示即為入射功率P1(dBm);再將ZX接在反射電橋上,此時(shí)選頻表的指示即為反射功率P2(dBm),那么反射損耗bp=P1-P2(dB)。第八十四頁,共一百七十九頁,2022年,8月28日圖9.14電接口輸入端反射損耗測試框圖第八十五頁,共一百七十九頁,2022年,8月28日

3)輸入連線衰減一般情況下,信號由電端機(jī)送到光端機(jī)時(shí),需要經(jīng)過一段電纜,電纜對電信號具有一定的衰減,這就要求光端機(jī)能容許輸入口信號有一定的衰減和畸變,而系統(tǒng)此時(shí)不會發(fā)生誤碼。這種光端機(jī)輸入口能承受的傳輸衰減,叫做允許的連線衰減。不同碼速下輸入口容許的連線衰減測試指標(biāo)要求如表9.3所示,測試框圖如圖9.15所示。第八十六頁,共一百七十九頁,2022年,8月28日表9.3輸入口允許的連線衰減和抗干擾能力第八十七頁,共一百七十九頁,2022年,8月28日圖9.15輸入口容許的連線衰減測試第八十八頁,共一百七十九頁,2022年,8月28日測試時(shí)按照圖9.15連接線路。輸入口的連接電纜對信號的衰減符合衰減規(guī)律。接收機(jī)對端環(huán)回,這樣測試的線路較長;也可以直接在接收端測試誤碼,但儀表使用分離,不太方便。碼型發(fā)生器輸入相應(yīng)的測試信號,經(jīng)過衰減送入光端機(jī),使連接電纜的損耗在表9.3要求的范圍內(nèi)變化,以誤碼檢測器檢測不到誤碼時(shí)的衰減值為測試結(jié)果。第八十九頁,共一百七十九頁,2022年,8月28日

4)輸入口抗干擾能力對光端機(jī)而言,由于數(shù)字配線架和上游設(shè)備輸出口阻抗的不均勻性,會在接口處產(chǎn)生信號反射,反射信號對有用信號是個(gè)干擾。通常把光端機(jī)在接收被干擾的有用信號后仍不會產(chǎn)生碼的這種能力稱為抗干擾能力。因此常用有用信號功率和干擾信號功率之比表示抗干擾能力的大小。第九十頁,共一百七十九頁,2022年,8月28日測試框圖如圖9.16所示。測試時(shí)干擾信號和有用信號經(jīng)過混合網(wǎng)絡(luò)合并在一起,輸入口衰減電纜按表9.3選取,信號功率與干擾信號功率的比值按表9.3取值,以誤碼檢測器檢測不到誤碼時(shí)的測試功率為準(zhǔn)。第九十一頁,共一百七十九頁,2022年,8月28日圖9.16輸入口抗干擾能力測試框圖第九十二頁,共一百七十九頁,2022年,8月28日

5)輸出波形測試為了使各廠家生產(chǎn)的不同型號的設(shè)備能彼此相連,就要求這些設(shè)備的接口波形必須符合ITUT提出的要求,即符合G.703建議中的脈沖波形樣板,其圖形如圖9.17所示。接口碼速不同,對脈沖波形的要求不同,每種波形的脈沖寬度與幅度、上升時(shí)間、下降時(shí)間、過沖過程都有嚴(yán)格規(guī)定。只要設(shè)備接口波形在樣板斜線范圍內(nèi),則同一碼速的不同型號的設(shè)備就能互連。第九十三頁,共一百七十九頁,2022年,8月28日圖9.17部分脈沖波形樣板第九十四頁,共一百七十九頁,2022年,8月28日圖9.17部分脈沖波形樣板第九十五頁,共一百七十九頁,2022年,8月28日圖9.17部分脈沖波形樣板第九十六頁,共一百七十九頁,2022年,8月28日圖9.18輸出口波形樣板測試框圖第九十七頁,共一百七十九頁,2022年,8月28日9.4光纖通信系統(tǒng)測試

9.4.1誤碼性能及測試

系統(tǒng)的誤碼特性是衡量系統(tǒng)優(yōu)劣的一個(gè)非常重要的指標(biāo),它反映了數(shù)字信息在傳輸過程中受到損傷的程度,通常有以下幾種衡量方式和指標(biāo)。第九十八頁,共一百七十九頁,2022年,8月28日

1.平均誤碼率(BER)、劣化分(DM)、嚴(yán)重誤碼秒(SES)和誤碼秒(ES)BER一般是指在一段較長時(shí)間內(nèi)出現(xiàn)誤碼的個(gè)數(shù)和傳輸?shù)目偞a元數(shù)的比值。可以表示為BER=誤碼個(gè)數(shù)傳輸?shù)目偞a元數(shù)第九十九頁,共一百七十九頁,2022年,8月28日此處傳輸?shù)目偞a元數(shù)等于系統(tǒng)傳輸碼速率與測試時(shí)間的乘積。對于一個(gè)64kb/s的數(shù)字電話,如果BER≤10-6,則話音十分清晰,感覺不到噪聲和干擾;若BER達(dá)到10-5,則在低聲說話時(shí)就會感到有干擾存在,即有個(gè)別的喀喀聲存在;若BER高達(dá)10-3,則不僅感到嚴(yán)重的干擾,可懂度也會受到影響。第一百頁,共一百七十九頁,2022年,8月28日從定義可以知道,BER反映的是一段測試時(shí)間內(nèi)的平均誤碼結(jié)果,它無法反映誤碼的隨機(jī)性和突發(fā)性。在較長的觀察時(shí)間里(幾天到一個(gè)月),設(shè)T(1分鐘或1秒鐘)為一個(gè)抽樣觀察時(shí)間,設(shè)定BER的某一門限值為M,記錄下每一個(gè)T內(nèi)的BER,其中BER超過門限值M的次數(shù)T和總觀察時(shí)間內(nèi)的可用時(shí)間之比,稱為誤碼的時(shí)間百分?jǐn)?shù)。第一百零一頁,共一百七十九頁,2022年,8月28日在每1分鐘內(nèi)誤碼性能劣于1×10-6的分鐘稱為劣化分,用DM表示。通常把誤碼率劣于1×10-3的秒稱為嚴(yán)重誤碼秒。在數(shù)字通信中,有一些重要的信息包,希望一個(gè)誤碼也沒有。只要1秒內(nèi)有誤碼發(fā)生,相應(yīng)的數(shù)據(jù)就要重發(fā),所以引入了誤碼秒(ES)來描述這種情況,即把有誤碼發(fā)生的秒稱為誤碼秒(ES)。64kb/s業(yè)務(wù)在全程網(wǎng)上需要滿足的指標(biāo)如表9.4所示。第一百零二頁,共一百七十九頁,2022年,8月28日表9.464kb/s業(yè)務(wù)的誤碼性能指標(biāo)第一百零三頁,共一百七十九頁,2022年,8月28日2.誤碼性能測試測試框圖如圖9.19所示。圖9.19誤碼性能測試框圖第一百零四頁,共一百七十九頁,2022年,8月28日采用遠(yuǎn)端電接口環(huán)回,本端測試。本端的誤碼儀發(fā)送規(guī)定的測試信號,環(huán)回后在本端接收口檢測出有關(guān)誤碼的情況。一般測試時(shí)間在24小時(shí)以上。最后根據(jù)統(tǒng)計(jì)的誤碼結(jié)果計(jì)算出BER、DM、SES、ES等指標(biāo)。這種測試方法所得的指標(biāo)是實(shí)際光纖通信系統(tǒng)指標(biāo)的2倍。第一百零五頁,共一百七十九頁,2022年,8月28日

9.4.2抖動(dòng)性能及測試數(shù)字信號(包括時(shí)鐘信號)的各個(gè)有效瞬間對于標(biāo)準(zhǔn)時(shí)間位置的偏差稱為抖動(dòng)(或漂動(dòng))。這種信號邊緣相位的向前向后變化給時(shí)鐘恢復(fù)電路和先進(jìn)先出(FIFO)緩存器的工作帶來一系列的問題,是使信號判決偏離最佳判決時(shí)間、影響系統(tǒng)性能的重要因素。在光纖通信中,將10Hz以上的長期相位變化稱為漂動(dòng),而10Hz以下的則稱為抖動(dòng)。第一百零六頁,共一百七十九頁,2022年,8月28日數(shù)字信號的有效瞬間可以超前或滯后標(biāo)準(zhǔn)時(shí)間的位置,我們就把這種時(shí)間偏差的最大值稱為抖動(dòng)峰-峰值,用它來衡量抖動(dòng)大小。峰—峰值用JP-P表示,單位為UI,表示單位時(shí)隙。當(dāng)傳輸NRZ碼時(shí),1UI就是1bit信息所占用的時(shí)間,它在數(shù)值上等于傳輸速率的倒數(shù)。第一百零七頁,共一百七十九頁,2022年,8月28日抖動(dòng)在本質(zhì)上相當(dāng)于低頻振蕩的相位調(diào)制加載到了傳輸?shù)臄?shù)字信號上。產(chǎn)生抖動(dòng)的原因主要有隨機(jī)噪聲、時(shí)鐘提取回路中調(diào)諧頻率偏移、接收機(jī)的碼間干擾和振幅相位換算等。在多中繼長途光纖通信中,抖動(dòng)具有累積性。抖動(dòng)在數(shù)字傳輸系統(tǒng)中最終表現(xiàn)為數(shù)字端機(jī)解調(diào)后的噪聲,它使信噪比劣化,靈敏度降低。抖動(dòng)難以完全消除,為了保證數(shù)字網(wǎng)的抖動(dòng)要求,必須根據(jù)抖動(dòng)的累積規(guī)律對光纖傳輸系統(tǒng)的抖動(dòng)提出限制。衡量系統(tǒng)抖動(dòng)性能的參數(shù)有三個(gè):輸入抖動(dòng)容限、無輸入抖動(dòng)時(shí)的輸出抖動(dòng)容限及抖動(dòng)轉(zhuǎn)移特性。第一百零八頁,共一百七十九頁,2022年,8月28日

1.輸入抖動(dòng)容限光纖通信系統(tǒng)各次群的輸入接口必須容許輸入信號含有一定的抖動(dòng),系統(tǒng)容許的輸入信號的最大抖動(dòng)范圍稱為輸入抖動(dòng)容限,超過這個(gè)范圍,系統(tǒng)將不再有正常的指標(biāo)。根據(jù)ITUT建議,PDH各次群輸入接口的輸入抖動(dòng)容限必須在圖9.20所示的曲線之上。表9.5給出了圖中各量的對應(yīng)值。而對于SDH系統(tǒng),STMN光接口輸入抖動(dòng)和漂移容限要求如圖9.21和表9.6所示。第一百零九頁,共一百七十九頁,2022年,8月28日圖9.20PDH輸入抖動(dòng)容限第一百一十頁,共一百七十九頁,2022年,8月28日表9.5PDH輸入抖動(dòng)容限要求第一百一十一頁,共一百七十九頁,2022年,8月28日圖9.21STMN輸入抖動(dòng)和漂移下限(參照G.825)第一百一十二頁,共一百七十九頁,2022年,8月28日表9.6STMN光接口輸入抖動(dòng)和漂移容限要求(參照G.825)第一百一十三頁,共一百七十九頁,2022年,8月28日

2.輸出抖動(dòng)當(dāng)系統(tǒng)無輸入抖動(dòng)時(shí),輸出口的信號抖動(dòng)稱為輸出抖動(dòng)。根據(jù)ITU-T建議和我國國標(biāo),在全程網(wǎng)(或一個(gè)數(shù)字段)用帶通濾波器對PDH各次群的輸出口進(jìn)行測試,輸出抖動(dòng)不應(yīng)超過表9.7給出的容限值,SDH設(shè)備的各STMN口的固有抖動(dòng)不應(yīng)超過表9.8給出的容限值。第一百一十四頁,共一百七十九頁,2022年,8月28日表9.7PDH各次群的輸出抖動(dòng)容限第一百一十五頁,共一百七十九頁,2022年,8月28日表9.8STMN接口抖動(dòng)容限(參照G.813)第一百一十六頁,共一百七十九頁,2022年,8月28日

3.抖動(dòng)轉(zhuǎn)移抖動(dòng)轉(zhuǎn)移也稱為抖動(dòng)傳遞,定義為系統(tǒng)輸出信號的抖動(dòng)與輸入信號中具有相應(yīng)頻率的抖動(dòng)之比。抖動(dòng)轉(zhuǎn)移特性用來驗(yàn)證系統(tǒng)對高低頻抖動(dòng)的適應(yīng)能力。圖9.22給出了對SDH再生器抖動(dòng)傳遞特性的要求。對于STM16,f0=30kHz,p=0.1dB。第一百一十七頁,共一百七十九頁,2022年,8月28日圖9.22抖動(dòng)轉(zhuǎn)移特性第一百一十八頁,共一百七十九頁,2022年,8月28日

4.抖動(dòng)性能測試抖動(dòng)性能測試框圖如圖9.23所示。低頻振蕩發(fā)出的信號作為抖動(dòng)信號,對誤碼儀發(fā)出的規(guī)定的測試信號進(jìn)行干擾,誤碼儀與光端機(jī)之間的連接電纜符合衰減規(guī)律。第一百一十九頁,共一百七十九頁,2022年,8月28日圖9.23抖動(dòng)特性測試框圖第一百二十頁,共一百七十九頁,2022年,8月28日(1)輸入抖動(dòng)測試。按照框圖接好測試系統(tǒng),用低頻信號調(diào)制誤碼儀的發(fā)送端,向光端機(jī)輸入口送入一定抖動(dòng)幅度和抖動(dòng)頻率的測試信號。固定低頻信號頻率,加大信號幅度,即加大抖動(dòng)幅度,直到誤碼儀剛好不出現(xiàn)誤碼時(shí),穩(wěn)定60s,此時(shí)在A點(diǎn)接上抖動(dòng)檢測器,測出的抖動(dòng)數(shù)值即為此頻率下的輸入抖動(dòng)容限。然后改變頻率,重復(fù)上述步驟,得到的值與圖9.20和9.21的曲線比較,在曲線之上即為合格。第一百二十一頁,共一百七十九頁,2022年,8月28日(2)輸出抖動(dòng)測試。不接低頻信號發(fā)生器,從抖動(dòng)檢測器讀出輸出抖動(dòng),由于是環(huán)回測試,因此測得的抖動(dòng)值的一半才是實(shí)際系統(tǒng)的輸出抖動(dòng)。對比輸出抖動(dòng)容限要求,其值小于表9.6~表9.8的值即為合格。第一百二十二頁,共一百七十九頁,2022年,8月28日(3)抖動(dòng)轉(zhuǎn)移特性測試。輸入低頻調(diào)制的抖動(dòng)信號,將抖動(dòng)檢測器與A點(diǎn)相連,讀出抖動(dòng)幅度Pin,再將抖動(dòng)檢測器與B點(diǎn)相連,讀出輸出幅度Pout,抖動(dòng)增益G為Pout-Pin。由于是環(huán)回測試,實(shí)際系統(tǒng)的抖動(dòng)增益是該值的一半。改變抖動(dòng)頻率,重復(fù)上述步驟,可以得到不同抖動(dòng)頻率下的G值,與圖9.22對比,在該曲線之下的即為合格。第一百二十三頁,共一百七十九頁,2022年,8月28日9.5誤碼測試儀與其他常用儀表在前面的測試中頻繁地用到誤碼儀,下面就簡單地介紹一下誤碼儀的原理。

誤碼測試儀由三大部分組成:發(fā)碼發(fā)生器、誤碼檢測器和指示器,如圖9.24所示。發(fā)碼發(fā)生器可以產(chǎn)生測試所需的各種不同序列長度的偽隨機(jī)碼(27-1~223-1),接口電路可以實(shí)現(xiàn)輸出CMI碼、HDB3碼、NRZ碼、RZ碼等碼型。第一百二十四頁,共一百七十九頁,2022年,8月28日誤碼檢測器包括本地碼發(fā)生器,同步電路和誤碼檢測部分。本地碼發(fā)生器的構(gòu)成和發(fā)碼發(fā)生器相同,可以產(chǎn)生和發(fā)碼發(fā)生器完全相同的碼序列,并通過同步設(shè)備與接收到的碼序列同步。誤碼檢測電路將本地碼和接收碼進(jìn)行比較,檢測出誤碼信息送入計(jì)數(shù)器顯示。第一百二十五頁,共一百七十九頁,2022年,8月28日圖9.24誤碼儀框圖第一百二十六頁,共一百七十九頁,2022年,8月28日誤碼分析儀的基本結(jié)構(gòu)和誤碼測試儀相同,但是其內(nèi)部具有CPU,可以對測試結(jié)果進(jìn)行分析,給出BER、ES、SES、DM等信息。有的還可以自動(dòng)計(jì)算出被測設(shè)備或系統(tǒng)的“利用率”和“可靠度”等。數(shù)字傳輸分析儀除了具有誤碼分析的全部功能外,還包括抖動(dòng)發(fā)生器,能產(chǎn)生測試所需要的各種幅度可調(diào)的低頻信號,并將其調(diào)制到發(fā)碼上,產(chǎn)生帶有抖動(dòng)的數(shù)字序列。數(shù)字傳輸分析儀的接收部分,除具有誤碼檢測設(shè)備外,還能測試抖動(dòng)量,因此該設(shè)備能測試全部的誤碼性能和抖動(dòng)性能。第一百二十七頁,共一百七十九頁,2022年,8月28日9.6波長計(jì)、光譜分析儀OSA及應(yīng)用

9.6.1波長計(jì)對以SDH終端設(shè)備為基礎(chǔ)的多波長密集光波分復(fù)用系統(tǒng)和單波長SDH系統(tǒng)的測試要求差別很大。首先,單波長光通信系統(tǒng)的精確波長測試是不重要的,只需用普通的光功率計(jì)測試光功率值就可判斷光系統(tǒng)是否正常了。設(shè)置光功率計(jì)到一個(gè)特定的波長值,例如1310nm或1550nm,僅用作不同波長區(qū)光系統(tǒng)光源發(fā)光功率測試的較準(zhǔn)與修正,因?yàn)閷捁庾V的功率計(jì)而言,光源波長差幾十納米時(shí)測出的光功率值的差別也不大。第一百二十八頁,共一百七十九頁,2022年,8月28日可是,對DWDM系統(tǒng)就完全不同了,系統(tǒng)有很多波長,很多光路,要分別測出系統(tǒng)中每個(gè)光路的波長值與光功率大小,才能共同判斷出是哪個(gè)波長、哪個(gè)光路系統(tǒng)出了問題。由于各個(gè)光路的波長間隔通常是1.6nm(200GHz)、0.8nm(100GHz),甚至0.4nm(50GHz),故必須要用有波長選擇性的光功率計(jì),即用波長計(jì)或光譜分析儀(OSA)才能測出系統(tǒng)的各個(gè)光路的波長值和光功率的大小,因此,用一般的光功率計(jì)測出系統(tǒng)的總光功率值是不解決問題的。第一百二十九頁,共一百七十九頁,2022年,8月28日其次,為了平滑地增加波長,擴(kuò)大DWDM系統(tǒng)容量,或?yàn)榱遂`活地調(diào)度、調(diào)整電路和網(wǎng)絡(luò)的容量,需要減少某個(gè)DWDM系統(tǒng)的波長數(shù),即要求DWDM系統(tǒng)在增加或減少波長數(shù)時(shí),總的輸出光功率基本穩(wěn)定。在這種情況下,當(dāng)有某個(gè)光路、某個(gè)凈負(fù)荷載體,即光波長或光載頻失效時(shí),用普通光功率計(jì)測試總光功率值是無法發(fā)現(xiàn)問題的,因?yàn)橐粌蓚€(gè)光載頻功率大大降低或失效,對總的光功率值影響很小。第一百三十頁,共一百七十九頁,2022年,8月28日此時(shí),必須對各個(gè)光載頻的功率進(jìn)行選擇性測試,不僅測出光功率電平值,而且還要準(zhǔn)確地測出具體的波長數(shù)值后,才能確切知道是哪個(gè)波長、哪條光路出了問題。這不僅在判斷光路故障時(shí)非常必要,而且在系統(tǒng)安裝、調(diào)測和日常維護(hù)時(shí)也很重要。第一百三十一頁,共一百七十九頁,2022年,8月28日波長計(jì)具有幾種結(jié)構(gòu)形式。波長計(jì)賴以工作的原理是光干涉測試法。因?yàn)榧す獍l(fā)出的光線是相干光,因此視它們的相位關(guān)系不同,光信號可以相互增強(qiáng)或相互抵消。我們假設(shè)把一束激光分成兩束相同光強(qiáng)的光束,再強(qiáng)制這兩束光中的一束比另一束走過更長一段距離,然后再讓它們在感光傳感器的感光表面上重新會合。感光傳感器所檢測到的光強(qiáng),可由零光強(qiáng)(兩束光反相情況)變到任一束光的光強(qiáng)的兩倍(兩束光同相情況)。第一百三十二頁,共一百七十九頁,2022年,8月28日如果兩個(gè)光路之一包含有一個(gè)可以運(yùn)動(dòng)的反光鏡,那么我們便可以高度準(zhǔn)確和高度精密地測試物體的運(yùn)動(dòng)參數(shù),因而也就可以確定光的波長。如果忽略波長數(shù)值的小數(shù)點(diǎn)后的部分,我們說波長就等于光路長度的變化除以感光傳感器在我們改變光路長度時(shí)所檢測到的光功率的波峰數(shù)。第一百三十三頁,共一百七十九頁,2022年,8月28日如果我們在空氣中來做這個(gè)實(shí)驗(yàn),就必須進(jìn)行一定的修正,以便考慮光在空氣中傳播的速度cair

和光在真空中傳播的速度cvac的不同。cair

的大小也不是一個(gè)常數(shù),它取決于空氣的溫度、濕度和氣壓。但是,如果我們知道環(huán)境條件,我們就能查出cair的數(shù)值。我們還必須進(jìn)行另一項(xiàng)修正,以考慮波長的多普勒頻移(Dopplershift),此頻移是在測試過程中由變化的光路長度所引起的。第一百三十四頁,共一百七十九頁,2022年,8月28日顯然,波長計(jì)還可以使整個(gè)測試過程自動(dòng)化起來。在自動(dòng)測試中,我們只需把由被測光源來的一束光聚焦在一個(gè)合適的測點(diǎn)上,然后再用波長計(jì)去進(jìn)行測試就行了。波長計(jì)可以改變光路長度,數(shù)出光功率的波峰數(shù),測試空氣的溫度、濕度和氣壓,查表和進(jìn)行修正,作計(jì)算和列出計(jì)算結(jié)果。第一百三十五頁,共一百七十九頁,2022年,8月28日此外,在測試數(shù)據(jù)中如果包含有可能被忽略的波長的小數(shù)點(diǎn)部分,如果有必要,這種波長計(jì)也可對此加以修正。假設(shè)反光鏡以一已知的恒定速度運(yùn)動(dòng)。(反光鏡就應(yīng)當(dāng)這樣運(yùn)動(dòng),因?yàn)槎嗥绽疹l移的計(jì)算需要速度信息。)現(xiàn)在,我們再假定,在反光鏡運(yùn)動(dòng)過程中,波長計(jì)將測試在檢測第一個(gè)波峰之前出現(xiàn)的間隔和在檢測最后一個(gè)波峰之后出現(xiàn)的間隔的寬度。為了得到更精確的波長測試值,波長計(jì)能把這些間隔轉(zhuǎn)換成光路長度的變化量,然后再從光路的總長度變化量中減去這些變化量。當(dāng)然,如果所記錄的波峰數(shù)多,那么修正量就小。第一百三十六頁,共一百七十九頁,2022年,8月28日波長計(jì)一般可以測試的指數(shù)有光波長及譜寬、中心波長、峰值功率、積分功率、光信噪比、DWDM系統(tǒng)及器件的通道特性等。波長計(jì)的一個(gè)重要用途就在于測試PMD(極化模的色散)。PMD是單模光纖的一個(gè)重要特性,這個(gè)特性既不完全穩(wěn)定,又不可以完全預(yù)測。發(fā)射進(jìn)入這種光纖的單色光將分成傳播速度稍微不同且互為正交的一對分量。不同的傳播速度就會產(chǎn)生不同的波長,這種波長就可以用一合適的測試設(shè)備——波長計(jì)來測試。第一百三十七頁,共一百七十九頁,2022年,8月28日

9.6.2光譜分析儀(OSA)及應(yīng)用使用光譜分析儀測試得到的光功率是波長的函數(shù),最普通的實(shí)現(xiàn)途徑是利用基于衍射光柵的光濾波器,它的波長分辨率小于0.1nm?;贛ichelson干涉儀的波長計(jì)可以達(dá)到更高的波長精度(±0.001nm)。為了測試非常窄的線寬(典型單頻半導(dǎo)體激光器的線寬是10MHz),光譜分析儀使用零差和外差檢測技術(shù)。第一百三十八頁,共一百七十九頁,2022年,8月28日具有不同性能等級(例如波長分辨率)的光譜分析儀可以用于測試光輸出或器件的傳輸參數(shù)隨波長的變化規(guī)律。波長分辨率由OSA中的光濾波器的帶寬決定。分辨率帶寬這個(gè)術(shù)語用于描述光濾波器的帶寬。典型的OSA中可選擇濾波器的波長范圍為0.1~10nm。

OSA通常掃描一個(gè)光譜區(qū),并在離散的波長點(diǎn)上進(jìn)行測試。波長間隔,也就是所謂的軌跡點(diǎn)間距取決于儀器的帶寬分辨能力。第一百三十九頁,共一百七十九頁,2022年,8月28日

1.光源特性用于光纖通信系統(tǒng)的基本光源主要有三種:發(fā)光二極管(LED),法布里-珀羅(F-P)激光器和分布反饋式(DFB)激光器。每種光源的波長與輸出的關(guān)系完全不同。OSA是快速準(zhǔn)確測試這些器件輸出頻譜特性的通用儀器。

由于LED的發(fā)射光譜是寬帶的連續(xù)譜,它的FWHM譜寬是30~150nm。圖9.25是一個(gè)中心波長在1300nm的LED頻譜的典型軌跡圖。OSA可以自動(dòng)測試和顯示的一些值得關(guān)注的參數(shù)包括:第一百四十頁,共一百七十九頁,2022年,8月28日

(1)輸出總功率,它是各軌跡點(diǎn)i的歸一化輸入功率Pi之和,軌跡點(diǎn)間距與分辨率帶寬的比值可將輸入功率歸一化,也就是如果在頻譜區(qū)內(nèi)進(jìn)行N次測試,則軌跡點(diǎn)間距分辨率帶寬)(2)平均波長,它是大量測試點(diǎn)的中心,其值由下式給出:軌跡點(diǎn)間距分辨率帶寬)第一百四十一頁,共一百七十九頁,2022年,8月28日

(3)峰值波長,它是LED頻譜峰值處的波長。

(4)半高全寬(FWHM),它給出了半功率點(diǎn),也就是該點(diǎn)處的功率譜密度的幅度是峰值處功率譜密度幅度的一半。假設(shè)功率分布是連續(xù)的高斯分布,則有關(guān)系式:

FWHM=2.355σ

其中,σ是LED的rms譜寬,可以使用OSA測試得到,即軌跡點(diǎn)間距分辨率帶寬()]第一百四十二頁,共一百七十九頁,2022年,8月28日(5)LED的3dB譜寬,其定義是LED頻譜峰值兩邊兩個(gè)波長間的間距,這兩個(gè)波長上頻譜密度是峰值功率處頻譜密度的一半。第一百四十三頁,共一百七十九頁,2022年,8月28日圖9.25HP71450光譜分析儀記錄的LED頻譜第一百四十四頁,共一百七十九頁,2022年,8月28日

OSA可以自動(dòng)測試的法布里-珀羅激光器的參數(shù)包括:頻譜的FWHM或包絡(luò)帶寬、中心波長、模式間距和激光器的總功率。圖9.26是法布里-珀羅激光器光譜的典型軌跡圖。類似于LED的輸出總功率和平均波長的計(jì)算公式,也可以算出F-P激光器的輸出總功率和平均波長,只是這里沒有歸一化因子,因?yàn)镕-P激光器不像LED那樣具有連續(xù)光譜。第一百四十五頁,共一百七十九頁,2022年,8月28日圖9.26HP71450光譜分析儀記錄的F-P激光器頻譜第一百四十六頁,共一百七十九頁,2022年,8月28日除了頻譜更窄以外,分布反饋式激光器和F-P激光器相似。OSA提供的可自動(dòng)測試的DFB激光器參數(shù)包括:中心波長、邊模抑制比、峰值功率和阻帶特性等。邊模抑制比是主模頻譜成分與最大邊模頻譜的幅度差。所謂阻帶,是指與主模相鄰的最大邊模與比它低一點(diǎn)的邊模之間的波長間隔,圖9.27是典型的DFB激光器的軌跡圖。第一百四十七頁,共一百七十九頁,2022年,8月28日圖9.27HP71450光譜分析儀記錄的DFB激光器頻譜第一百四十八頁,共一百七十九頁,2022年,8月28日

2.EDFA增益和噪聲系數(shù)的測試在光放大器應(yīng)用于光纖通信鏈路時(shí),增益和噪聲是放大器最重要的兩個(gè)參數(shù)。放大器的增益可以使用光功率計(jì)、電域頻譜分析儀或光譜分析儀測試;噪聲系數(shù)既可以使用電域頻譜分析儀,也可以使用光譜分析儀測試。每種方法都有自己的優(yōu)勢、局限性和難度級別。這里我們僅討論使用OSA測試EDFA的增益與噪聲系數(shù)。第一百四十九頁,共一百七十九頁,2022年,8月28日

1)增益測試

圖9.28給出了測試光放大器增益的基本裝置以及OSA的輸出結(jié)果。這個(gè)裝置中包括有可調(diào)諧激光器(而且其輸出功率電平也是可調(diào)節(jié)的)以及一個(gè)OSA。測試步驟是:先在不接入EDFA的情況下將光源連接到OSA上,測試未經(jīng)過放大的光源的輸出功率電平,這樣就能得到圖9.28中的頻譜與波長關(guān)系曲線中下面那條曲線;然后再接入EDFA即可得到放大后的輸出功率電平,即圖中的上面那條曲線;兩條曲線的幅度差就是放大器增益G。第一百五十頁,共一百七十九頁,2022年,8月28日當(dāng)使用E

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論