23課件二十三 智能LED電子鐘_第1頁
23課件二十三 智能LED電子鐘_第2頁
23課件二十三 智能LED電子鐘_第3頁
23課件二十三 智能LED電子鐘_第4頁
23課件二十三 智能LED電子鐘_第5頁
已閱讀5頁,還剩25頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

本文格式為Word版,下載可任意編輯——23課件二十三智能LED電子鐘微處理器應(yīng)用—林克明、郭從良、陳羽

第0頁

智能LED電子鐘——盧婧、馮軍

1.

總體設(shè)計(jì)

1)功能技術(shù)指標(biāo):

本次嵌入式試驗(yàn),我們想制作一個(gè)多功能的智能電子鐘。靈感來源于手機(jī)中的時(shí)間日期顯示于與鬧鐘系統(tǒng),希望它具有以下功能:?具備時(shí)間(小時(shí),分鐘,秒)、日期(年,月,日,星期)顯示。?可進(jìn)行24小時(shí)與12小時(shí)的轉(zhuǎn)換功能。

?從年到秒,都可以調(diào)理。不過星期則是自動(dòng)跟蹤。

?帶有誤差調(diào)理功能,即可以在一定范圍內(nèi)控制計(jì)時(shí)快慢。?帶有多個(gè)鬧鐘,并可以任意控制開關(guān)。2)器件選擇:

綜合考慮實(shí)用性與經(jīng)濟(jì)性,我們選用AT89C2051單片機(jī)。時(shí)間顯示采用多位數(shù)碼管動(dòng)態(tài)掃描顯示。并用兩個(gè)按鍵控制時(shí)間、鬧鐘的顯示與調(diào)理。2.

硬件設(shè)計(jì)

1)數(shù)碼管的驅(qū)動(dòng)問題

我們使用了5個(gè)共陰極數(shù)碼管,不能直接用單片機(jī)的輸入輸出口驅(qū)動(dòng),由于AT89C2051的灌電流只有10mA,無法驅(qū)動(dòng)5個(gè)數(shù)碼管,而且輸入輸出口的高低電平也無法達(dá)到片選信號(hào)要求。首先需要上拉電阻,以便抬高高電平的電壓。其次,片選信號(hào)也需要加上三極管起到開關(guān)作用。

經(jīng)過試驗(yàn)測(cè)定,根據(jù)單片機(jī)高低電平的劃分,并留有一定的余量,選用了220歐的上拉電阻,以及220歐的限流電阻。

2)功能鍵的設(shè)置問題

AT89C2051的輸入輸出口很有限,而又要完成比較的繁雜的變換時(shí)間顯示方式,調(diào)時(shí),設(shè)置鬧鐘等功能,因此功能鍵的設(shè)置很重要。

我們選用兩個(gè)功能鍵,根據(jù)兩個(gè)鍵之間的切換以及按鍵時(shí)間長(zhǎng)短來完成各種功能。電路原理圖見圖17-15-1:

表17-15-1:元器件列表

器件名稱AT89C2051共陰極數(shù)碼管pnp三極管6MHz晶振蜂鳴器7805電阻電容按鍵

型號(hào)AT89C2051SM4205C8550SD6.00000蜂鳴器L7805CV220歐30p10410uF100uF按鍵

¥6.50¥0.90¥0.50¥1.50¥1.50¥1.00¥0.05¥0.05¥0.05¥0.10¥0.10¥0.50

數(shù)目1551111323112

微處理器應(yīng)用—林克明、郭從良、陳羽

第1頁

圖17-15-1:智能時(shí)鐘的原理圖

3.

軟件設(shè)計(jì)

我們的電子鐘硬件并不繁雜,而主要繁雜功能的實(shí)現(xiàn)都放在軟件上。軟件分兩大部分:主程序和中斷服務(wù)子程序。

主程序十分簡(jiǎn)單,初始化后,CPU便進(jìn)入空閑模式,用指令使空閑模式位IDL(PCON.0)=0:此時(shí)CPU處于休眠狀態(tài),而片內(nèi)所有其他外圍設(shè)備都保持工作狀態(tài),片內(nèi)RAM和特別功能寄放器SFR的內(nèi)容保持不變,等待T1定時(shí)中斷的到來。

對(duì)T1定時(shí)中斷服務(wù)程序的執(zhí)行時(shí)間做記錄,重置T1定時(shí)器常數(shù)時(shí)加上T0的值即可。這樣可以確切地補(bǔ)償時(shí)間誤差。

微處理器應(yīng)用—林克明、郭從良、陳羽

第2頁

開始初始化空閑模式圖17-15-2:主程序流程圖

所有的功能模塊,均在T1定時(shí)(25ms一次)中斷服務(wù)程序中執(zhí)行。再利用T0定時(shí)器

開始計(jì)時(shí)處理鍵盤處理重裝時(shí)間常數(shù)現(xiàn)場(chǎng)保護(hù)報(bào)警判斷關(guān)閉T0定時(shí)器現(xiàn)場(chǎng)恢復(fù)啟動(dòng)T0定時(shí)器LED處理計(jì)算T1定時(shí)器初值RETI

圖圖17-15-3:主程序流程圖:中斷服務(wù)子程序流程

源程序見下:fl_250msbit00h

fl_500msbitfl_250ms+1set_clk_fbitfl_500ms+1set_al4_fbitset_clk_f+1am_fbitset_al4_f+1fl_3sbitam_f+1al1_fbitfl_3s+1al2_fbitfl_3s+2al3_fbitfl_3s+3al4_fbitfl_3s+4set_overbital4_f+1

微處理器應(yīng)用—林克明、郭從良、陳羽

第3頁

ha_fbitset_over+1ha_overbitha_f+1fl_ms_fbitha_over+1fl_s_fbitfl_ms_f+1key_overbitfl_s_f+1

;**************************************************key_dataequ08h

timersequkey_data+1t_30msequtimers+1t_20msequt_30ms+1t_1sequt_20ms+1k1_dataequt_1s+1k2_dataequk1_data+1stat_workequk2_data+1stat_ledequstat_work+1t_1msequstat_led+1t_20mequt_1ms+1beepequt_20mal1equbeep+1al2equbeep+2al3equbeep+3al4equbeep+4al5equbeep+5al6equbeep+6al7equbeep+7al8equbeep+8

ret_timerequal8+1

;**************************************************secequ23hminequsec+1hhourequmin+1hdayequhour+1hmonequday+1hyearequmon+1hw_adjequyear+1hweekequw_adj+1h

;***************************************al1_hequweek+1hal1_mequal1_h+1hal2_hequal1_m+1hal2_mequal2_h+1hal3_hequal2_m+1hal3_mequal3_h+1hal4_hequal3_m+1h

微處理器應(yīng)用—林克明、郭從良、陳羽

第4頁

al4_mequal4_h+1hal5_hequal4_m+1hal5_mequal5_h+1hal6_hequal5_m+1hal6_mequal6_h+1hal7_hequal6_m+1hal7_mequal7_h+1hal8_hequal7_m+1hal8_mequal8_h+1hbeep_tequal8_m+1fristequbeep_t+1

;**************************************led1equfrist+1led2equled1+1led3equled2+1led4equled3+1led5equled4+1sp_dataequled5+1

;**************************************led_1bitp3.5led_2bitp3.4led_3bitp3.3led_4bitp3.2led_5bitp3.1

beep_fBITP3.7key_fbitp3.0

;********************************************************t_h_100msequ05dh;100msfor6MHzt_l_100msequ07fh;c6for100mstimer_noequ8d;3c90+30d=3cb0hw_adj_datequ50dkey_daequ00000110b

;*********************************************************blk_ledequ20ddot_ledequ21df_ledequ22dn_ledequ23du_ledequ24dt_ledequ25db_ledequ26dd_ledequ27d

微處理器應(yīng)用—林克明、郭從良、陳羽

第5頁

oRG0000H;;sJMPstartORG0003H;;reti;

ORG000BH;;ajmpt0reti;ORG0013Hreti;

ORG001BH;aJMPT1;ORG0023H;

RETI;AJMPRS_485;

;**************************************start:

callclr_ram

MOVSP,#sp_data;callint_t0calltimer_intcalltimer_20msSTAR_BI:

callclockcallweek_autocallal_outCALLKEY_bordcallkey_delcallset_clk_alcallkey_jmpcallrebackcallled_jmpsjmpstar_bi

;*******************************************reback:

mova,stat_workjzreback_endjbal1_f,ka1movret_timer,secsetbal1_fsjmpreback_endka1:

mova,ret_timeradda,#05dmovb,#60d

微處理器應(yīng)用—林克明、郭從良、陳羽

第6頁

divabmova,sec

cjnea,b,reback_endclral1_f

movstat_work,#00dreback_end:retkey_jmp:

mova,key_datajzkey_jmp_endmovret_timer,seccjnea,#01d,kk1mova,stat_workinca;k1_keycjnea,#9d,kka1mova,#00dkka1:

movstat_work,asjmpkey_jmp_endkk1:

mova,stat_work;k2_keyjnzkk2

mova,stat_ledinca

cjnea,#05d,kka2mova,#00dkka2:

movstat_led,asjmpkey_jmp_endkk2:

acallall1cplamov@r0,akey_jmp_end:retall1:

movr0,#al1mova,stat_workdecaadda,r0movr0,amova,@r0ret

微處理器應(yīng)用—林克明、郭從良、陳羽

第7頁

led_jmp:

;**********************************;movstat_work,#01d;movstat_tw,#01d

mova,stat_workjnzled_al1mova,stat_ledacallled_t_dmovled1,weeksjmpled_jmp_end

led_al1:

acallall1

movled1,#f_ledjnzle_t2

movled1,#n_ledle_t2:

jbfl_250ms,le_t4movled1,#blk_led

le_t4:

acallal_ledled_jmp_end:acallledret

;*************************************

time_24:

mova,houracallbcd_8movled2,ajnztim4

movled2,#blk_ledtim4:

movled3,b

jbfl_500ms,tim1mova,badda,#10dmovled3,a

tim1:

acallled_min

微處理器應(yīng)用—林克明、郭從良、陳羽

第8頁

time_12_end:ret

;***********************************

led_min:

mova,minacallbcd_8

jbfl_500ms,tim2adda,#10dtim2:

movled4,amovled5,bret

;*************************************

date_led:

mova,monacallbcd_8movled2,ajnzda1

movled2,#blk_ledda1:

mova,badda,#10dmovled3,amova,dayacallbcd_8movled4,ajnzda2

movled4,#blk_ledda2:

movled5,bdate_led_end:ret

;*************;D_8=>BCD;*************BCD_8:

MOVB,#10DDIVABRET

;****************************************

微處理器應(yīng)用—林克明、郭從良、陳羽

第9頁

LED:

movp1,#0

movdptr,#led_asc

;***************************led1mova,led1movca,@a+dptrmovp1,aclrled_1

acalltimer_1msacalltimer_1ms;acalltimer_1ms;acalltimer_1ms;acalltimer_1mssetbled_1

;*************************led2led_led2:

mova,led2movca,@a+dptrmovp1,aclrled_2

acalltimer_1mssetbled_2

;*************************led3led_led3:

mova,led3movca,@a+dptrmovp1,aclrled_3

acalltimer_1mssetbled_3

;*************************led4led_led4:

mova,led4movca,@a+dptrmovp1,aclrled_4

acalltimer_1mssetbled_4

;*************************led5led_led5:

mova,led5movca,@a+dptrmovp1,aclrled_5

微處理器應(yīng)用—林克明、郭從良、陳羽

第10頁

acalltimer_1mssetbled_5

;*************************led_end:

movp1,#0ret

;************************************led_asc:

db11101110B;0db10001000B;1db11000111B;2db11001101B;3db10101001B;4db01101101B;5db01101111B;6db11001000B;7db11101111B;8db11101101B;9

db11111110B;0.=>10ddb10011000B;1.db11010111B;2.db11011101B;3.db10111001B;4.db01111101B;5.db01111111B;6.db11011000B;7.db11111111B;8.db11111101B;9.

DB00000000B;BLACK=>20dDB00010000B;dot=>21dDB00100110b;l=>22ddB10101011B;h=>23dDB10101110B;u=>24dDB00100111B;t=>25ddB00101111B;b=>26ddB10001111B;d=>27d

;**********************************;delay

;********************************timer_1ms:

movt_1ms,#030hr2_1:

djnzt_1ms,r2_1ret

微處理器應(yīng)用—林克明、郭從良、陳羽

第11頁

timer_20ms:

movt_20m,#050dr2_2:

callclockcallled

djnzt_20m,r2_2callweek_autocallal_outret

;#############################################;ifmonweek=mod([5/4]*year+mon_asc(mon)+day-1)/7;else

;gs2=>week=mod([5/4]*year+mon_asc(mon)+day)/7week_auto:

mova,YEARmovb,#05mulabmovr4,bmovr5,amovr7,#04callmul_2

MOVDPTR,#MON_ASCMOVA,MONdeca

MOVCA,@A+DPTRADDA,r3;*********************MOVB,DAYADDA,B

movr3,a;r3=gs2

;*******jbmon微處理器應(yīng)用—林克明、郭從良、陳羽

第15頁

led_k2_2:;timer_24anddatetoled

acalltime_24jnbfl_3s,lk2acalldate_ledlk2:ajmpled_jmp_5_end

led_k3_2:;timer_24toledacalltime_24

ajmpled_jmp_5_endled_k4_2:;sectoled

movled1,#blk_ledmovled2,#blk_ledmovled3,#dot_ledmova,secacallbcd_8adda,#10dmovled4,amovled5,bled_jmp_5_end:

ret

;****************************************set_al4:

movk1_data,#01dmovk2_data,#01dclrset_overacallled_alacallkey_bordcallsf_keymovkey_data,#0movfrist,#0set_al41:

callclockcallweek_autoacallled_alacallkey_bordcallkey_delacallkey_al

jnbset_over,set_al41clra

movk1_data,amovk2_data,amovkey_data,a

微處理器應(yīng)用—林克明、郭從良、陳羽

第16頁

movfrist,aret

;**************************************key_al:

mova,key_datajzkey_al_endcjnea,#01d,k2_alinck1_data;(1-9)mova,k1_data

cjnea,#19d,key_al_endmovk1_data,#01dsjmpkey_al_endk2_al:

mova,k1_datacjnea,#018d,ke2setbset_oversjmpkey_al_endke2:

movr0,#al1_hdecaadda,r0movr0,ainc@r0

acalljj_bitmovb,#24djnzke3movb,#60dke3:

mova,@r0divabmova,bmov@r0,akey_al_end:retjj_bit:

mova,k1_datamovb,#02ddivab

mova,b;(a=1,0)ret

;*********************************led_out1:

movled2,#00hmovled3,#u_led

微處理器應(yīng)用—林克明、郭從良、陳羽

第17頁

movled4,#t_ledmovled5,#blk_ledmovled1,#blk_ledret

;********************************led_al:

mova,k1_datacjnea,#017d,lo1acallbeep_timersjmpled_al_endlo1:

cjnea,#18d,ld1acallled_out1sjmpled_al_endld1:

inca

movb,#02ddivab;**********

movled1,a;**********decarla

movr0,#al1_hadda,r0movr0,amova,@r0acallbcd_8movled2,ajnzld2

movled2,#blk_ledld2:

mova,badda,#10dmovled3,a

;************************************incr0mova,@r0acallbcd_8adda,#10dmovled4,amovled5,b

微處理器應(yīng)用—林克明、郭從良、陳羽

第18頁

;***************************flashbit

jbfl_250ms,led_al_endacalljj_bitjnzled_al_e

movled4,#blk_ledmovled5,#blk_ledsjmpled_al_endled_al_e:movled2,#blk_led

movled3,#blk_ledled_al_end:acallled

ret

;***************************************set_clock:movk1_data,#01d

movk2_data,#01dclrset_overcallled_clcallkey_bordcallsf_keymovkey_data,#0movfrist,#0

set_al41:callclock

acallweek_autocallal_outacallled_clacallkey_bordcallkey_delacallkey_cl

jnbset_over,set_al41;acallkey_bordclra

movk1_data,amovk2_data,amovkey_data,amovfrist,aret

;**************************************key_cl:mova,key_data

jzkey_al_endcjnea,#01d,k2_al

微處理器應(yīng)用—林克明、郭從良、陳羽

第19頁

inck1_data;(1-8)mova,k1_data

cjnea,#9d,key_al_endmovk1_data,#01dsjmpkey_al_end

k2_al:mova,k1_data

cjnea,#08d,ke2setbset_oversjmpkey_al_end

ke2:deca

movdptr,#asc_hmovca,@a+dptrmovb,a

;********************************movr0,#secmova,k1_datadecaadda,r0movr0,ainc@r0mova,@r0divabmova,bmov@r0,akey_al_end:retendasc_h:db60d,60d,24d,32d,13d,100d,100dled_cl:movdptr,#led_ord_3

mova,k1_datadeca

movb,#02hmulab

jmp@a+dptrled_ord_3:ajmpled_k0_2

ajmpled_k1_2ajmpled_k2_2ajmpled_k3_2ajmpled_k4_2ajmpled_k5_2ajmpled_k6_2ajmpled_k7_2

微處理器應(yīng)用—林克明、郭從良、陳羽

第20頁

led_k0_2:;ledsec

movled2,#blk_ledmovled3,#dot_ledmova,secacallbcd_8adda,#10dmovled4,amovled5,b

jbfl_250ms,lk1movled4,#blk_ledmovled5,#blk_ledlk1:ajmpled_jmp_5_endled_k1_2:;ledmin,hour

acallled_hourjbfl_250ms,lk2movled4,#blk_ledmovled5,#blk_ledlk2:ajmpled_jmp_5_endled_k2_2:;ledmin,hour

acallled_hourjbfl_250ms,lk3movled2,#blk_ledmovled3,#blk_ledlk3:ajmpled_jmp_5_endled_k3_2:;ledday,mon

acallled_monjbfl_250ms,lk4movled4,#blk_ledmovled5,#blk_ledlk4:ajmpled_jmp_5_endled_k4_2:;ledday,mon

acallled_monjbfl_250ms,lk5movled2,#blk_ledmovled3,#blk_ledlk5:ajmpled_jmp_5_end

微處理器應(yīng)用—林克明、郭從良、陳羽

第21頁

led_k5_2:;ledyear

movled2,#2dmovled3,#0dmova,yearacallbcd_8movled4,amovled5,b

jbfl_250ms,lk6movled4,#blk_ledmovled5,#blk_ledlk6:ajmpled_jmp_5_endled_k6_2:;ledw_adj

movled2,#d_ledmovled3,#blk_ledmova,w_adjacallbcd_8movled4,amovled5,b

jbfl_250ms,lk7movled4,#blk_ledmovled5,#blk_ledlk7:ajmpled_jmp_5_endled_k7_2:;ledout

acallled_out1led_jmp_5_end:movled1,weekacallledretled_hour:

mova,houracallbcd_8movled2,ajnzlj1

movled2,#blk_led

j1:mova,b

adda,#10dmovled3,a

;*********************mova,min

微處理器應(yīng)用—林克明、郭從良、陳羽

第22頁

led_mon:

lj5:acallbcd_8

lj2:movled3,b

lj4:acallbcd_8

lj3:movled5,b

al_out:

al_ch_1:movr0,#min

al_l:mova,@r0

acallbcd_8adda,#10dmovled4,amovled5,bret

mova,monjnzlj5incmonmova,mon

movled2,ajnzlj2

movled2,#blk_led;*********************mova,dayjnzlj4incdaymova,daymovled4,ajnzlj3

movled4,#blk_led

ret

;********************************************jbha_over,al_out_endmovr7,#8dmovr1,#al8_m

movr6,#02d;minhourmovb,@r1

cjnea,b,al_chincr0

微處理器應(yīng)用—林克明、郭從良、陳羽

第23頁

decr1

djnzr6,al_l

;**************************************************mova,r7deca

movr0,#al1adda,r0movr0,amova,@r0movc,acc.0cplc

anlc,fl_250mscplc

movbeep_f,cacallbeep_out

al_ch:clrc

mova,r1subba,r6movr1,a

djnzr7,al_ch_1al_out_end:retbeep_out:

jbha_f,ba1movbeep,secsetbha_f

ba1:mova,beep

adda,beep_tmovb,#60ddivabmova,sec

cjnea,b,beep_out_endsetbbeep_fsetbha_overclrha_fbeep_out_end:ret

timer_int:

MOVT_1S,#TIMER_nomovbeep_t,#15dmovyear,#01d

微處理器應(yīng)用—林克明、郭從良、陳羽

第24頁

movmon,#011dmovday,#06dmovhour,#13dmovmin,#00dmovsec,#00dmovr7,#16

movdptr,#al_ascmovr0,#al1_h

al_read:clra

movca,@a+dptrmov@r0,aincr0incdptr

djnzr7,al_readret

;************************************beep_timer:

movled1,#blk_ledmovled2,#b_ledmovled3,#blk_ledmova,beep_tacallbcd_8movled4,amovled5,b

jbfl_250ms,ft1movled4,#blk_ledmovled5,#blk_ledft1:ret

time_12:

movdptr,#asc_12mova,hourrla

movca,@a+dptrmovled2,ajnziu2

movled2,#blk_lediu2:incdptr

mova,hourrla

movca,@a+dptrjbfl_500ms,iu1adda,#10d

微處理器應(yīng)用—林克明、郭從良、陳羽

第25頁

iu1:movled3,a

;***************************************mintoledacallled_mintime_24_end:ret

asc_12:db11d,2d,21d,1d,21d,2d,21d,3d,21d,4d,21d,5d,21d,6d,21d,7d

db21d,8d,21d,9d,11d,0d,11d,1d

db1d,2d,0d,1d,0d,2d,0d,3d,0d,4d,0d,5d,0d,6d,0d,7d,0d,8d,0d,9ddb1d,0,1d,1dclock:

;********************************mova,sec

cjnea,#60d,clock_end;!!!!!!!!!!!!!!!!!!!!!!movsec,#00hincmin;**********

clrha_over;mova,min

cjnea,#60d,clock_endmovmin,#00hinchourmova,hour

cjnea,#24d,clock_endmovhour,#00hmova,monxrla,#02djnzmm_1

movdptr,#year_dmova,yearmovca,@a+dptrsjmpmm_2mm_1:mova,mondeca

movdptr,#mou_12movca,@a+dptrmm_2:movb,aincb

incday;***************day+1mova,day

cjnea,b,clck_endsjmpclck1

clck_end:jcclock_endclck1:movday,#01hincmon

微處理器應(yīng)用—林克明、郭從良、陳羽

第26頁

mova,mon

cjnea,#13d,clock_endmovmon,#01dincyearmova,year

cjnea,#100d,clock_endmovyear,#00dclock_end:

callfl_outretmou_12:

db31d,28d,31d,30d,31d,30ddb31d,31d,30d,31d,30d,31d

year_d:

db29d,28d,28d,28d,29d,28d,28d,28d,29d,28ddb28d,28d,29d,28d,28d,28d,29d,28d,28d,28ddb29d,28d,28d,28d,29d,28d,28d,28d,29d,28ddb28d,28d,29d,28d,28d,28d,29d,28d,28d,28d;***************************************t1:

pushapushpswpushb

clrfl_ms_f

djnzt_1s,t1_endclrfl_s_fincseccalladj_w

;********************************t1_end:

popbpoppswpopareti

adj_w:clrtr1

mova,#T_L_100ms

adda,w_adj;*******have5ordermovtl1,a

mova,#T_H_100msaddca,#00hmovth1,a

movt_1s,#timer_no

微處理器應(yīng)用—林克明、郭從良、陳羽

第27頁

setbtr1ret

fl_out:jbfl_ms_f,fl_out_end

setbfl_ms_fmova,t_1smovb,#02ddivabmova,bjnzto_d1cplfl_250ms

to_d1:

mova,t_1smovb,#04ddivabmova,bjnzto_d2cplfl_500msto_d2:fl_out_end:

callsec_outret

sec_out:jbfl_s_f,sec_out_end

setbfl_s_fmova,secmovb,#07djnbfl_3s,za1movb,#02dza1:divab

mova,b

jnzsec_out_endcplfl_3ssec_out_end:

ret

;**********************************key_del:

mova,key_datajnzkl_12mova,fristjnzkl_13retkl_13:

微處理器應(yīng)用—林克明、郭從良、陳羽

第28頁

movkey_data,fristmovfrist,#0clrkey_overjmpsf_beepkl_12:

jnbkey_over,kl11mova,t_30msadda,#03movb,#60ddivabmova,sec

cjnea,b,key_del_endclrkey_overjmpset_keykl11:movt_30ms,secsetbkey_overkey_del_end:callsf_a

cjnea,#key_da,sfg_12clrkey_overjmpsf_beep

sfg_12:movfrist,key_datamovkey_data,#0ret

;****************************************key_bord:

acallre_kmova,key_data

jzkey_bord1_end;acallpsf_key0key_bord1_end:

setbkey_fretset_key:

mova,key_data

cjnea,#01h,set_cc1setbset_al4_fsjmpsf23set_cc1:

cjnea,#02h,sf23setbset_clk_fsf23:

ret

;************************************sf_key:

微處理器應(yīng)用—林克明、郭從良、陳羽

第29頁

callclockcal

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論