第8章+計算機(jī)測試系統(tǒng)_第1頁
第8章+計算機(jī)測試系統(tǒng)_第2頁
第8章+計算機(jī)測試系統(tǒng)_第3頁
第8章+計算機(jī)測試系統(tǒng)_第4頁
第8章+計算機(jī)測試系統(tǒng)_第5頁
已閱讀5頁,還剩56頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

第8章+計算機(jī)測試系統(tǒng)第一頁,共61頁。8.1概述

計算機(jī)測試系統(tǒng)是傳感器技術(shù)、數(shù)據(jù)采集技術(shù)、信號處理技術(shù)和計算機(jī)技術(shù)在測試領(lǐng)域技術(shù)融合的產(chǎn)物,它既能實(shí)現(xiàn)對信號的檢測,又能對測得的信號進(jìn)行計算、分析、處理和判斷,目前已經(jīng)成為測試系統(tǒng)和測試儀器設(shè)計的主體模式。第二頁,共61頁。1、發(fā)展簡史

計算機(jī)測試技術(shù)的研究和應(yīng)用自20世紀(jì)60年代開始獲得實(shí)質(zhì)性的發(fā)展,隨著一些高性能的ADC、DAC插卡、專用預(yù)處理模塊和專用測試分析軟件的相繼出現(xiàn),產(chǎn)生了以個人計算機(jī)為主的各種數(shù)據(jù)采集儀和分析儀。第三頁,共61頁。由于通信、網(wǎng)絡(luò)、微米/納米技術(shù)、微機(jī)電技術(shù)及新型傳感器技術(shù)的進(jìn)步,計算機(jī)測試系統(tǒng)設(shè)計更加靈活。系統(tǒng)設(shè)計方式從以單片微機(jī)為基礎(chǔ)的智能儀器到到以總線技術(shù)為基礎(chǔ)的自動測試系統(tǒng)和以網(wǎng)絡(luò)為基礎(chǔ)的網(wǎng)絡(luò)化測試系統(tǒng),滿足了測試領(lǐng)域不同的應(yīng)用需要。第四頁,共61頁。2、計算機(jī)測試系統(tǒng)的基本結(jié)構(gòu)測試應(yīng)用中,按照不同的測試要求,測試系統(tǒng)的設(shè)計可以設(shè)計成不同的結(jié)構(gòu)形式,大體可分為基本型、標(biāo)準(zhǔn)通用接口型、專用接口型和閉環(huán)控制型等類型。第五頁,共61頁。計算機(jī)測試系統(tǒng)主要分為5個部分,即傳感模塊,信號調(diào)理模塊、數(shù)據(jù)獲取子系統(tǒng)、計算機(jī)平臺和測試軟件平臺。通常數(shù)據(jù)獲取子系統(tǒng)和計算機(jī)平臺稱為計算機(jī)測試系統(tǒng)的硬件平臺,主要結(jié)構(gòu)類型如下。基本型結(jié)構(gòu)基本型是目前計算機(jī)測試系統(tǒng)的主要形式,由多路傳感器、信號調(diào)理器、數(shù)據(jù)采集卡(板)計算機(jī)及測試軟件等組成,各部分之間的連接是通過適當(dāng)?shù)腎/O接口和總線來實(shí)現(xiàn),能完成對多通道和多種參量的自動檢測。第六頁,共61頁。標(biāo)準(zhǔn)通用接口型結(jié)構(gòu)

標(biāo)準(zhǔn)通用接口型測試系統(tǒng)中,所有模塊之間的接口都按照國際標(biāo)準(zhǔn)設(shè)計,具有組建方便、通用性好、適用性強(qiáng)、可擴(kuò)展性和靈活性好等顯著優(yōu)點(diǎn),應(yīng)用極為普遍。可通過標(biāo)準(zhǔn)總線將各個臺式測試模塊連接起來,也可將有關(guān)插板部件模塊插入標(biāo)準(zhǔn)機(jī)箱擴(kuò)展箱,即可非常方便地構(gòu)成測試系統(tǒng)。專門接口型結(jié)構(gòu)

專用接口型計算機(jī)測試系統(tǒng)由具有特定功能的模塊相互連接而成,是更專業(yè)、更大型、性能更優(yōu)異的數(shù)據(jù)采集系統(tǒng),其數(shù)據(jù)采集速度、通道數(shù)、抗干擾能力及專用數(shù)據(jù)采集和分析處理軟件等性能指標(biāo)都優(yōu)于標(biāo)準(zhǔn)通用接口型,他在航空、航天、軍事等領(lǐng)域應(yīng)用較廣。例如,美國Nicolet公司生產(chǎn)的Odyssey型多通道采集記錄分析儀、Pacific公司生產(chǎn)的Pacific-6000型數(shù)據(jù)采集系統(tǒng)就是在航天測控領(lǐng)域中應(yīng)用較廣的兩種專用測試系統(tǒng)。第七頁,共61頁。閉環(huán)控制型結(jié)構(gòu)

閉環(huán)控制型是指應(yīng)用于閉環(huán)控制的測試系統(tǒng),測試系統(tǒng)作為反饋環(huán)節(jié),這種閉環(huán)控制系統(tǒng)通常稱為計算機(jī)測控系統(tǒng),一般由實(shí)時數(shù)據(jù)采集、實(shí)時判斷決策和實(shí)時控制等組成。3、計算機(jī)測試系統(tǒng)的特點(diǎn)測試系統(tǒng)發(fā)展至今,大體經(jīng)歷了四代發(fā)展歷程,即模擬式、分立元件式、數(shù)字化式以及智能儀器(計算機(jī)核心的測試模式)。與傳統(tǒng)測試模式相比,計算機(jī)測試系統(tǒng)具有如下特點(diǎn):高精度、高分辨率高速實(shí)時數(shù)據(jù)分析處理性能可靠、穩(wěn)定,維修方便數(shù)據(jù)輸出與存儲方便功能開放性自校準(zhǔn)和自診斷功能第八頁,共61頁。8.2數(shù)據(jù)采集系統(tǒng)

在計算機(jī)測試系統(tǒng)中,將模擬信號轉(zhuǎn)換為相對應(yīng)的計算機(jī)可使用的數(shù)字信號的過程稱為數(shù)據(jù)采集。數(shù)據(jù)采集系統(tǒng)則是實(shí)現(xiàn)數(shù)據(jù)采集,并由計算機(jī)進(jìn)行存儲、處理、顯示或打印的過程。相應(yīng)的系統(tǒng)稱為數(shù)據(jù)采集系統(tǒng)。數(shù)據(jù)采集系統(tǒng)多路模擬開關(guān)、采樣/保持器和A/D轉(zhuǎn)換器等部分組成:8.2數(shù)據(jù)采集系統(tǒng)

在計算機(jī)測試系統(tǒng)中,將模擬信號轉(zhuǎn)換為相對應(yīng)的計算機(jī)可使用的數(shù)字信號的過程稱為數(shù)據(jù)采集。數(shù)據(jù)采集系統(tǒng)則是實(shí)現(xiàn)數(shù)據(jù)采集,并由計算機(jī)進(jìn)行存儲、處理、顯示或打印的過程。相應(yīng)的系統(tǒng)稱為數(shù)據(jù)采集系統(tǒng)。第九頁,共61頁。8.2.1多路模擬開關(guān)常見的模擬開關(guān)有機(jī)電式和電子式兩大類。機(jī)電式主要包括各種電磁繼電器(如干簧繼電器等)主要用于大電流、高電壓、低速切換場所;電子多路開關(guān)由于是集成化無觸點(diǎn)開關(guān),壽命長、體積小且干擾小,主要包括二極管、雙極型晶體管、場效應(yīng)管等構(gòu)成的開關(guān),主要性能和特點(diǎn)如下:第十頁,共61頁。1、多路模擬開關(guān)的工作原理(1)工作原理開關(guān)元件—用于切換模擬信號的;驅(qū)動電路—按照控制指令,驅(qū)動開關(guān)元件完成通斷轉(zhuǎn)換的。第十一頁,共61頁。

工程應(yīng)用中,數(shù)據(jù)采集系統(tǒng)主要采用集成多路模擬開關(guān),因此本節(jié)重點(diǎn)討論集成多路開關(guān)。

一般的模擬多路開關(guān)必須與地址計數(shù)器和譯碼器配合使用,才能在計算機(jī)的控制下分別選通各路模擬信號。集成多路開關(guān)將多路開關(guān)、計數(shù)器、譯碼器及控制電路集成在一塊芯片上,給數(shù)據(jù)采集系統(tǒng)的設(shè)計帶來了很大方便。16路集成多路開關(guān),模擬量輸入部分由16個漏極連在一起的場效應(yīng)管開關(guān)做成,開關(guān)驅(qū)動部分包括一個四位計數(shù)器和一個四-十六線譯碼器,如圖所示:第十二頁,共61頁。工作原理:計算機(jī)送出四位二進(jìn)制數(shù),如要選擇第1路輸入信號,則把計數(shù)器置為0001狀態(tài),經(jīng)四-十六譯碼器后,第1根線輸出高電平,場效應(yīng)管T1導(dǎo)通,,選中第1路信號。如果要連續(xù)選通第1路到第3路的信號,可以在計數(shù)器加入計數(shù)脈沖,每加入一次脈沖,計數(shù)器的值加1,狀態(tài)依次變?yōu)?001,0010,0011。第十三頁,共61頁。2、集成多路模擬開關(guān)的應(yīng)用

數(shù)據(jù)采集系統(tǒng)中,實(shí)際采樣點(diǎn)可能多達(dá)幾十個、甚至幾百個,而一片模擬多路開關(guān)的通道最多時有8路。以典型集成模擬開關(guān)CD4501進(jìn)行介紹。(1)CD4501芯片結(jié)構(gòu)及工作原理CD4501芯片的結(jié)構(gòu)及管腳功能如圖第十四頁,共61頁。該型芯片采用16腳雙列直插式封裝,8通道單刀結(jié)構(gòu),允許雙向使用,即可用于多到一的切換輸出,也可用于一到多的輸出切換。CD4501由三根地址線A,B,C及控制線的狀態(tài)來選擇8路中的一路,(低電平),芯片使能,其真值表如表所示。第十五頁,共61頁。(1)多路模擬開關(guān)的通道擴(kuò)展方法數(shù)據(jù)采集系統(tǒng)中,實(shí)際采樣點(diǎn)通常較多,因此需要對通道進(jìn)行擴(kuò)展。測試應(yīng)用中,通常將多個多路開關(guān)加以組合,構(gòu)成多個通道,通道數(shù)一般為2n個。CD4501為8路模擬開關(guān),如果采樣通道數(shù)超過8個,需要進(jìn)行通道擴(kuò)展,主要采用以下三種方法:將n片CD4501加以組合,用門電路(如或門、反相器等)組成地址譯碼器,產(chǎn)生n個選址信號(相當(dāng)于片選信號,低電平有效),分別接各片CD4501的禁止端,即可把通道數(shù)擴(kuò)展為8n個。將n片CD4501加以組合,采用集成的地址譯碼器產(chǎn)生n個選址信號。將n片CD4501加以組合,另外使用一片CD4501完成地址譯碼功能。第十六頁,共61頁。如圖所示為32路選1電路,從0~31,共32個通道第十七頁,共61頁。8.2.2采樣/保持電路采樣/保持電路(S/H)是數(shù)據(jù)采集和數(shù)據(jù)分配系統(tǒng)中的重要組成部分,其基本功能是“凍結(jié)”時變信號的瞬時值,以確保信號A/D轉(zhuǎn)換時間內(nèi)模擬信號基本保持不變,以確保轉(zhuǎn)換精度,而在A/D轉(zhuǎn)換結(jié)束后又能跟蹤輸入信號的變化。因此,采樣保持電路在保持階段相當(dāng)于一個“模擬信號存儲器”。:第十八頁,共61頁。

采樣保持電路在原理上由一個開關(guān)和一個電容構(gòu)成,電容用于存儲模擬電壓,開關(guān)用于轉(zhuǎn)換工作狀態(tài),因此采樣保持電路本質(zhì)上是一種具有信號輸入、信號輸出以及由外部指令控制的模擬門電路。1、工作原理第十九頁,共61頁。工作原理如下:

t1時刻前,控制信號為高電平,模擬開關(guān)K閉合,模擬輸入信號UI通過開關(guān)K加到電容CH上,使得電容CH端電壓UC跟隨模擬輸入信號UI的變化,這段時間為跟蹤期或采樣時間段。圖中t1前,t2-t3,t4-t5等時間段均為跟蹤期。t1時刻,控制信號為低電平,模擬開關(guān)K斷開,此時電容CH上的電壓UC保持模擬開關(guān)斷開瞬間的UI值不變并等待A/D轉(zhuǎn)換器轉(zhuǎn)換,這段時間為保持期。圖中t1-t2,t3-t4等時間段均為保持期。t2時刻保持結(jié)束,新一個跟蹤(采樣)時刻到來,控制信號重新為高電平,模擬開關(guān)K重新閉合,電容CH端電壓UC又跟隨模擬輸入信號UI的變化。采樣/保持過程配合A/D轉(zhuǎn)化過程進(jìn)行變化,因此性能參數(shù)指標(biāo)的匹配在數(shù)據(jù)采集過程中顯得尤為重要。。第二十頁,共61頁。采樣/保持電路是一種用邏輯電平控制其工作狀態(tài)的器件,具有兩個穩(wěn)定的工作狀態(tài):跟蹤狀態(tài)。接收模擬輸入信號,并精確地跟蹤模擬輸入信號的變化,一直到接到保持指令為止。保持狀態(tài)。對接收到保持指令前一瞬間的模擬輸入信號進(jìn)行保持。

采樣/保持電路是在“保持”命令發(fā)出的瞬間進(jìn)行采樣,而在“跟蹤”命令發(fā)出時,采樣/保持電路跟蹤模擬輸入量,為下次采樣做準(zhǔn)備。第二十一頁,共61頁。采樣/保持電路可以采用電子元器件來實(shí)現(xiàn),實(shí)際構(gòu)建的測試系統(tǒng)多數(shù)采用集成芯片。采樣/保持電路的基本結(jié)構(gòu)有串聯(lián)型和反饋型。2、基本電路第二十二頁,共61頁。串聯(lián)型采樣/保持電路

串聯(lián)型采樣/保持電路如上圖a所示。途中A1和A2分別是輸入和輸出緩沖放大器,用以提高采樣/保持電路的輸入阻抗,減少輸出阻抗以便與前級和后級電路連接。

當(dāng)開關(guān)K閉合時,采樣/保持器處于跟蹤狀態(tài)。由于A1是高增益放大器,其輸出電阻很小,模擬開關(guān)K的導(dǎo)通電阻很小,輸入信號通過A1對電容CH的充電速度很快,CH的電壓將跟蹤輸入電壓UI的變化,而A2也接成電壓跟隨器,具有較高的輸入電阻,電容CH上的電荷泄放比較慢。當(dāng)K斷開時,采樣保持器從跟蹤狀態(tài)變?yōu)楸3譅铙w,CH沒有充放電回路,理想狀態(tài)下CH上的電壓與A2的輸出電壓一致并保持K斷開瞬間UI的最終值上。第二十三頁,共61頁。反饋型采樣/保持電路

反饋型采樣保持電路如圖b所示,輸出電壓UO反饋到輸入端,使A1和A2共同組成一個跟隨器。開關(guān)K1和K2關(guān)系互補(bǔ),當(dāng)K1閉合時,K2斷開;K2閉合時,K1斷開。當(dāng)K1閉合,K2斷開時,兩塊運(yùn)放A1和A2共同組成一個跟隨器,采樣/保持器工作于跟蹤狀態(tài)。當(dāng)K1斷開,K2閉合時,采樣/保持電路工作與保持狀態(tài)。此時,保持電容CH的端電壓UC保持在K1斷開瞬間UI的值上,使UO與UI的值保持一致。在保持狀態(tài),影響輸出電壓精度的因素是保持狀態(tài)前瞬間A1運(yùn)放的失調(diào)電壓。所以,這種類型的采樣/保持電路的精度高于串聯(lián)型。第二十四頁,共61頁。3、采樣/保持電路的主要性能參數(shù)采樣/保持電路的主要性能參數(shù)如圖所示:捕捉時間TAC

捕捉時間TAC是指當(dāng)采樣保持電路從保持狀態(tài)轉(zhuǎn)到跟蹤(采樣)狀態(tài)時,采樣/保持電路的輸出從保持狀態(tài)的值變化到當(dāng)前的輸入值所需要的時間,如上圖所示。捕捉時間包括邏輯輸入開關(guān)的動作時間、保持電容的充電時間、放大器的設(shè)定時間等。第二十五頁,共61頁??讖綍r間TAP孔徑時間TAP是指保持命令發(fā)出后,直到模擬開關(guān)K完全斷開所需要的時間。采樣保持電路中,模擬開關(guān)從閉合到完全切斷需要一定的時間,當(dāng)接收到保持指令時,采樣/保持電路的輸出并不保持在指令發(fā)出瞬間的輸入值上,而會跟著輸入變化一段時間。由于這段時間的存在,延遲了采樣時間,因此計算機(jī)控制A/D轉(zhuǎn)換器進(jìn)行采樣的過程應(yīng)考慮預(yù)留出該段時間。保持電壓的衰減率當(dāng)采樣/保持器處于保持狀態(tài)時,由于保持電容CH泄漏電流的存在,使得保持電壓有一定衰減,下降值隨保持時間增大而增大,衰減速率用保持電壓的下降率來表示式中I—保持電容CH的漏電流。為了減小保持電壓衰減率,設(shè)計時應(yīng)采用優(yōu)質(zhì)電容CH。第二十六頁,共61頁。4、采樣/保持電路的應(yīng)用采樣頻率的選擇測試系統(tǒng)中,對有限帶寬的模擬信號進(jìn)行采樣,只有在采樣頻率至少2倍于信號最高頻率,才能保證不失真地恢復(fù)模擬信號,因此有:第二十七頁,共61頁。解:從題中可以看出,與和相比,可以忽略根據(jù)采樣頻率計算公式,有:實(shí)例分析:已知采樣/保持電路的捕捉時間孔徑時間,A/D轉(zhuǎn)換器的轉(zhuǎn)換時間(時鐘頻率為640kHz),計算系統(tǒng)可采集的最高輸入信號頻率。

測試系統(tǒng)所采用的采樣/保持電路大都集成在一塊集成芯片上,芯片內(nèi)不包含保持電容,因此需要外接,容量大小根據(jù)用戶的需要選擇。一般來講,采樣頻率越高,保持電容越小,反之采樣頻率低,但要求精度較高時可選用較大的電容。典型采樣/保持集成芯片的選用第二十八頁,共61頁。采樣/保持集成芯片有以下三類:

通用芯片:AD583K,AD582,LF398(298,198)等;高速芯片:THS-0025,THC-0300等;高分辨率芯片,如SHA1144等。以AD582芯片為例進(jìn)行介紹:AD582是美國AnalogDevices公司生產(chǎn)的通用型采樣/保持芯片(國產(chǎn)型號5G582)。它由一個高性能的運(yùn)算放大器,低漏電阻的模擬開關(guān)和一個由結(jié)型場效應(yīng)管集成的放大器組成。該芯片采用14腳雙列直插式封裝,其管腳及結(jié)構(gòu)示意圖如圖所示。第二十九頁,共61頁。

應(yīng)用中,腳1位同相輸入端,腳9為反相輸入端,保持電容CH接在腳6與腳8之間,腳11和腳12為邏輯控制器,腳3和腳4接直流調(diào)零電位器,腳10和腳5是正負(fù)電源,腳2,7,13和14為空腳(Nc)。下圖為AD582的常用電路。第三十頁,共61頁。AD582是反饋型采樣/保持芯片,保持電容接在運(yùn)算放大器A2的輸出端(腳8)和反相輸入端(腳6)之間。該種接法增益為1,輸出不反相,可以實(shí)現(xiàn)以較小的電容獲得較高的采樣速率。當(dāng)精度要求不高(±0.1%)而速度要求較高時,可選CH=100pF,這樣捕捉實(shí)踐。當(dāng)精度要求較高(±0.015%)時,為減小反饋的影響并減緩保持電壓的下降,應(yīng)去CH=1000pF。。第三十一頁,共61頁。8.2.3模數(shù)轉(zhuǎn)換器和數(shù)模轉(zhuǎn)換器

模/數(shù)轉(zhuǎn)換器是將模擬量轉(zhuǎn)換成數(shù)字量的器件,簡稱A/D轉(zhuǎn)換器或ADC(AnalogtoDigitalConverter),而數(shù)/模轉(zhuǎn)換器則是將數(shù)字量轉(zhuǎn)換成模擬量的器件,簡稱D/A轉(zhuǎn)換器或DAC(DigitaltoAnalogConverter),用于把微處理器輸出的數(shù)字信號轉(zhuǎn)換成電壓或電流等模擬信號。目前,A/D芯片或D/A芯片均以集成在芯片上,設(shè)計測試系統(tǒng)時,無需了解其內(nèi)部電路的細(xì)節(jié),重點(diǎn)在于掌握芯片的外部特性和使用方法。

1、模/數(shù)轉(zhuǎn)換器模/數(shù)轉(zhuǎn)換器的分類A/D轉(zhuǎn)換器的分類方法從“量化是一種比較過程”這一基本概念出發(fā),從比較的角度可以分為兩種類型,直接比較型和間接比較型:第三十二頁,共61頁。直接比較型

將輸入的采樣模擬量直接與作為標(biāo)準(zhǔn)的基準(zhǔn)電壓相比較,得到按數(shù)字編碼的離散量或直接得到數(shù)字量,主要包括連續(xù)比較、逐次比較等。這類轉(zhuǎn)換屬瞬時比較,速度較快,但抗干擾能力差。間接比較型

將輸入的采樣模擬量與基準(zhǔn)電壓轉(zhuǎn)變成中間物理量,然后進(jìn)行比較,然后將比較得到的時間(t)或頻率(f)進(jìn)行數(shù)字編碼。這類轉(zhuǎn)換屬平均值響應(yīng),轉(zhuǎn)換速度慢,但抗干擾能力強(qiáng),有雙斜式、脈沖調(diào)寬型、積分型、自動校準(zhǔn)積分型等類型。第三十三頁,共61頁。A/D轉(zhuǎn)換器的主要技術(shù)指標(biāo)A/D轉(zhuǎn)換器可以將聲、光、壓力以及溫度等隨時間連續(xù)變化的非電物理量經(jīng)傳感器轉(zhuǎn)換成的電信號采樣,轉(zhuǎn)換成具有一定精度的數(shù)字信號。A/D轉(zhuǎn)換器主要性能指標(biāo)主要有以下幾個方面。分辨率

分辨率是指A/D轉(zhuǎn)換器所能分辨模擬輸入信號的最小變化量,通常用轉(zhuǎn)換器輸出數(shù)字量的位數(shù)來表示。設(shè)A/D轉(zhuǎn)換器的位數(shù)為n,滿量程電壓為FSR,則分辨率定義為第三十四頁,共61頁。

滿量程電壓為10V的12位A/D轉(zhuǎn)換器,則轉(zhuǎn)換器對模擬輸入電壓的分辨能力為2.44mV;如果滿量程5V的8位A/D轉(zhuǎn)換器,分辨能力為19.6mV。目前常用的A/D轉(zhuǎn)換器的位數(shù)一般有8位、10位、12位、14位及16位等。精度A/D轉(zhuǎn)換器的精度分為絕對精度和相對精度。(1)絕對精度

絕對精度定義為對應(yīng)于輸出數(shù)據(jù)的實(shí)際模擬輸入電壓與理想模擬輸入電壓之差。絕對誤差一般在范圍內(nèi)。絕對誤差包括增益誤差、偏移誤差、非線性誤差,也包括量化誤差。第三十五頁,共61頁。(2)相對精度

相對精度定義為絕對精度與滿量程電壓值之比的百分?jǐn)?shù)。即

所謂相對就是相對于滿量程電壓值,因此分辨率很高的A/D轉(zhuǎn)換器,可能因?yàn)闇囟蕊h移、線性不良等原因,并不一定具有很高的精度。

量程是指A/D轉(zhuǎn)換器所能轉(zhuǎn)換模擬信號的電壓范圍,如0V~5V,-5V~+5V,0V~10V,-10V~+10V。量程第三十六頁,共61頁。轉(zhuǎn)換速率是指能夠重復(fù)進(jìn)行數(shù)據(jù)轉(zhuǎn)換的速度,即每秒鐘轉(zhuǎn)換的次數(shù)。轉(zhuǎn)換時間和轉(zhuǎn)換速率(1)轉(zhuǎn)換時間

轉(zhuǎn)換時間是指按照規(guī)定的精度將模擬信號轉(zhuǎn)換為數(shù)字信號并輸出所需要的時間。一般用微秒(μs)或毫秒(ms)來表示。通常轉(zhuǎn)換時間是根據(jù)模擬輸入電壓值來規(guī)定的,也有根據(jù)轉(zhuǎn)換器的位數(shù)來確定的,如逐次逼近型A/D型轉(zhuǎn)換器,轉(zhuǎn)換時間是恒定的。也有轉(zhuǎn)換器,其轉(zhuǎn)換時間與待轉(zhuǎn)換的信號的值有關(guān)。(2)轉(zhuǎn)換速率第三十七頁,共61頁。溫度系數(shù)和增益系數(shù)溫度系數(shù)和增益系數(shù)均表示A/D轉(zhuǎn)換器受環(huán)境溫度影響的程度,一般用每攝氏度溫度變化所產(chǎn)生的相對誤差作為指標(biāo),以ppm/oC為單位表示。A/D轉(zhuǎn)換器的工作原理逐次逼近式A/D轉(zhuǎn)換器

逐次逼近式A/D轉(zhuǎn)換是一個具有反饋回路的閉路系統(tǒng)。轉(zhuǎn)換器可劃分為比較環(huán)節(jié)、控制環(huán)節(jié)及比較標(biāo)準(zhǔn)(D/A轉(zhuǎn)換器)。其工作原理為:將待轉(zhuǎn)換的模擬輸入信號Vin與一個“推測”信號V1相比較,根據(jù)推測信號是大于還是小于輸入信號來決定減小還是增大該推測信號,以便向模擬輸入信號逼近。推測信號有D/A轉(zhuǎn)換器的輸出獲得,當(dāng)推測信號與模擬輸入信號“相等”時,向D/A轉(zhuǎn)換器輸入的數(shù)字即為對應(yīng)的模擬輸入的數(shù)字。第三十八頁,共61頁。第三十九頁,共61頁。雙積分法A/D轉(zhuǎn)換器

雙積分法A/D轉(zhuǎn)換器由電子開關(guān)、積分器、比較器和控制邏輯等部件組成,如圖8-12a所示。其工作原理是將未知電壓Vx轉(zhuǎn)換成時間值來間接測量的,所以雙積分法A/D轉(zhuǎn)換器也叫做T-V型A/D轉(zhuǎn)換器。A/D轉(zhuǎn)換過程為,開關(guān)先把Vx采樣輸入到積分器,積分器從零開始進(jìn)行固定時間T的正向積分,時間T到后,開關(guān)將與Vx極性相反的基準(zhǔn)電壓VREF輸入到積分器進(jìn)行反相積分,到輸出為零伏時停止反相積分。第四十頁,共61頁。2、數(shù)模(D/A)轉(zhuǎn)換器D/A轉(zhuǎn)換器的工作原理D/A轉(zhuǎn)換器主要分為并行D/A轉(zhuǎn)換器和串行D/A轉(zhuǎn)換器,在基本組成上可分為四個部分:電阻網(wǎng)絡(luò)、模擬切換開關(guān)、基準(zhǔn)電源和運(yùn)算放大器。第四十一頁,共61頁。

并行D/A的位數(shù)與輸入數(shù)碼的位數(shù)相同,對應(yīng)輸入數(shù)碼的每一位都設(shè)有信號輸入端,用以控制相應(yīng)的模擬切換開關(guān),把基準(zhǔn)電壓UREF接到電阻網(wǎng)絡(luò)上,工作原理如圖所示:并行D/A轉(zhuǎn)換器工作原理第四十二頁,共61頁。

電阻網(wǎng)絡(luò)將基準(zhǔn)電壓轉(zhuǎn)變?yōu)橄鄳?yīng)的電流或電壓,在運(yùn)算放大器的輸入端進(jìn)行總加。放大器的輸出則反映輸入數(shù)碼的大小。

設(shè)輸入數(shù)字量:

則:

并行D/A轉(zhuǎn)換器中,最常用的電阻網(wǎng)絡(luò)為“T”形網(wǎng)絡(luò)。以12位T形網(wǎng)絡(luò)D/A轉(zhuǎn)換器原理來分析,如圖所示第四十三頁,共61頁。12位D/A轉(zhuǎn)換器由12個串聯(lián)分路開關(guān)、27個精密電阻和一個運(yùn)算放大器組成。電阻網(wǎng)絡(luò)只用R及2R兩種規(guī)格的電阻。電阻網(wǎng)絡(luò)的輸出接至運(yùn)算放大器,若反饋電阻Rf的值為3R,則總的輸出電壓UO為:第四十四頁,共61頁。串行D/A轉(zhuǎn)換器工作原理串行D/A轉(zhuǎn)換器應(yīng)用于數(shù)字量以串行方式輸入的場合。串行D/A轉(zhuǎn)換器的工作節(jié)拍tC是和串行二進(jìn)制數(shù)碼定時同步的,在時鐘同步下控制D/A轉(zhuǎn)換器一位接一位地工作。串行二進(jìn)制脈沖轉(zhuǎn)換結(jié)束后,轉(zhuǎn)換器的模擬電壓輸出UO為第四十五頁,共61頁。D/A轉(zhuǎn)換器的主要性能指標(biāo)D/A轉(zhuǎn)換器的主要性能指標(biāo)主要包括:分辨率D/A轉(zhuǎn)換器分辨率定義為最小輸出電壓(對應(yīng)的輸入數(shù)字量只有最低有效位為“1”)與最大輸出電壓(對應(yīng)的輸入數(shù)字量所有有效位全為“1”)之比。如n位D/A轉(zhuǎn)換器,分辨率為。在實(shí)際應(yīng)用中,分辨率的表示方法也用輸入數(shù)字量的位數(shù)來表示。非線性誤差與線性度D/A轉(zhuǎn)換器的非線性誤差定義為實(shí)際轉(zhuǎn)換特性曲線與理想特性曲線之間的最大偏差,并以該偏差相對于滿量程的百分?jǐn)?shù)度量。第四十六頁,共61頁。建立時間建立時間反映D/A轉(zhuǎn)換從一個穩(wěn)態(tài)值向另一個穩(wěn)態(tài)值過渡的時間長短。不同型號的D/A轉(zhuǎn)換器的建立時間也不同,一般從幾個毫微秒到幾個微秒。若輸出為電流則建立時間相對較短,若輸出形式為電壓,則建立時間主要是輸出運(yùn)算放大器所需要的響應(yīng)時間。第四十七頁,共61頁。8.2.4數(shù)據(jù)采集系統(tǒng)的構(gòu)成方式1、微型計算機(jī)數(shù)據(jù)采集系統(tǒng)微型計算機(jī)數(shù)據(jù)采集系統(tǒng)是由傳感器、數(shù)據(jù)采集板卡、微型計算機(jī)及外設(shè)等組成,其中數(shù)據(jù)采集板卡包括信號調(diào)理模塊、采樣/保持器、A/D轉(zhuǎn)換器等模塊。采集系統(tǒng)構(gòu)成如圖所示。1、微型計算機(jī)數(shù)據(jù)采集系統(tǒng)微型計算機(jī)數(shù)據(jù)采集系統(tǒng)是由傳感器、數(shù)據(jù)采集板卡、微型計算機(jī)及外設(shè)等組成,其中數(shù)據(jù)采集板卡包括信號調(diào)理模塊、采樣/保持器、A/D轉(zhuǎn)換器等模塊。采集系統(tǒng)構(gòu)成如圖所示。第四十八頁,共61頁。2、分布式數(shù)據(jù)采集系統(tǒng)

分布式數(shù)據(jù)采集技術(shù)是以總線技術(shù)、現(xiàn)場總線技術(shù)、Ethernet網(wǎng)絡(luò)技術(shù)以及互聯(lián)網(wǎng)等為支撐的網(wǎng)絡(luò)化數(shù)據(jù)采集技術(shù),如圖所示:第四十九頁,共61頁。8.3自動測試系統(tǒng)

自動測試系統(tǒng)通常意義上講指采用計算機(jī)控制技術(shù),能實(shí)現(xiàn)自動化測試的系統(tǒng),能自動完成激勵、測量、數(shù)據(jù)處理并顯示或輸出結(jié)果。8.3.1自動測試系統(tǒng)的結(jié)構(gòu)及特點(diǎn)

自動測試系統(tǒng)(ATS—AutomaticTestSystem)通常是以計算機(jī)為核心,通過程控指令的指揮,能自動完成預(yù)定測量任務(wù)而組合起來的測量儀器和其他設(shè)備的有機(jī)整體。自動測試系統(tǒng)包括五部分:第五十頁,共61頁。第五十一頁,共61頁。8.3.2自動測試系統(tǒng)的發(fā)展歷程

1、第一代自動測試系統(tǒng)

第一代自動測試系統(tǒng)以專用系統(tǒng)為主,系統(tǒng)的設(shè)計通常是針對某項(xiàng)具體任務(wù)。系統(tǒng)中,計算機(jī)與被測目標(biāo)之間,計算機(jī)與測試儀器之間以及測試儀器之間的接口沒有標(biāo)準(zhǔn)化,系統(tǒng)結(jié)構(gòu)如圖所示。1、第一代自動測試系統(tǒng)

第一代自動測試系統(tǒng)以專用系統(tǒng)為主,系統(tǒng)的設(shè)計通常是針對某項(xiàng)具體任務(wù)。系統(tǒng)中,計算機(jī)與被測目標(biāo)之間,計算機(jī)與測試儀器之間以及測試儀器之間的接口沒有標(biāo)準(zhǔn)化,系統(tǒng)結(jié)構(gòu)如圖所示。第五十二頁,共61頁。2、第二代自動測試系統(tǒng)

第二代自動測試系統(tǒng)的主要特點(diǎn)標(biāo)準(zhǔn)接口、標(biāo)準(zhǔn)總線,即采用標(biāo)準(zhǔn)通用的可程控測量儀器接口總線(IEEE488,IEEE538等)以及可程序控制的儀器和測控計算機(jī)(控制器)。第二代自動測試系統(tǒng)中,代表性的是IEEE488,CAMAC(IEEE538)標(biāo)準(zhǔn)接口系統(tǒng)。2、第二代自動測試系統(tǒng)

第二代自動測試系統(tǒng)的主要特點(diǎn)標(biāo)準(zhǔn)接口、標(biāo)準(zhǔn)總線,即采用標(biāo)準(zhǔn)通用的可程控測量儀器接口總線(IEEE488,

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論