電子技術(shù)(實(shí)訓(xùn)篇-第二版)綜合實(shí)訓(xùn)課件_第1頁
電子技術(shù)(實(shí)訓(xùn)篇-第二版)綜合實(shí)訓(xùn)課件_第2頁
電子技術(shù)(實(shí)訓(xùn)篇-第二版)綜合實(shí)訓(xùn)課件_第3頁
電子技術(shù)(實(shí)訓(xùn)篇-第二版)綜合實(shí)訓(xùn)課件_第4頁
電子技術(shù)(實(shí)訓(xùn)篇-第二版)綜合實(shí)訓(xùn)課件_第5頁
已閱讀5頁,還剩82頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

4綜合實(shí)訓(xùn)電子技術(shù)4綜合實(shí)訓(xùn)電子技術(shù)4綜合實(shí)訓(xùn)1.基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)設(shè)計(jì)2.基于DDS的信號發(fā)生器設(shè)計(jì)3.基于FPGA的數(shù)字存儲示波器設(shè)計(jì)4綜合實(shí)訓(xùn)1.基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)設(shè)計(jì)2.基于項(xiàng)目目標(biāo)與準(zhǔn)備1.1基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)設(shè)計(jì)本項(xiàng)目實(shí)現(xiàn)基于單片機(jī)和新型可編程溫度傳感器的水溫控制系統(tǒng)設(shè)計(jì),可編程溫度傳感器采用DS18B20。一工作任務(wù)水溫可以在一定范圍內(nèi)由人工自由設(shè)定。本項(xiàng)目的任務(wù)是設(shè)計(jì)一個(gè)基于溫度傳感器DS18B20的水溫控制系統(tǒng),該系統(tǒng)具有以下功能:水溫低于或超出設(shè)定溫度范圍時(shí)實(shí)現(xiàn)自動(dòng)調(diào)節(jié)控制,以保持水溫恒定。在水溫低于或超出設(shè)定溫度范圍時(shí)發(fā)出報(bào)警。水溫值可在字符型液晶LCD1602上實(shí)時(shí)顯示。顯示工作狀態(tài)、日期等信息。項(xiàng)目目標(biāo)與準(zhǔn)備1.1基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)設(shè)計(jì)溫度傳感器模塊鍵盤輸入模塊液晶顯示模塊單片機(jī)控制模塊蜂鳴器報(bào)警模塊繼電器模塊圖4-1數(shù)字溫度系統(tǒng)總體設(shè)計(jì)框圖溫度傳感器模塊鍵盤輸入模塊液晶顯示模塊單蜂鳴器報(bào)警模塊繼電二技能目標(biāo)1.通過數(shù)字溫度系統(tǒng)的設(shè)計(jì),使學(xué)生具有分析設(shè)計(jì)需求能力。2.信息的采集與處理。3.使學(xué)生掌握溫度、濕度等傳感器應(yīng)用4.掌握液晶輸出設(shè)備,蜂鳴器等使用,提高應(yīng)用能力5.程序編寫及軟件仿真與調(diào)試的體驗(yàn),掌握單片機(jī)復(fù)雜系統(tǒng)軟件設(shè)計(jì),提高單片機(jī)編程能力三芯片資料AT89S52單片機(jī)的芯片資料AT89S52單片機(jī)主要性能:與MCS-51單片機(jī)產(chǎn)品兼容8K字節(jié)在系統(tǒng)可編程Flash存儲器1000次擦寫周期全靜態(tài)操作:0Hz~33Hz三級加密程序存儲器32個(gè)可編程I/O口線三個(gè)16位定時(shí)器/計(jì)數(shù)器八個(gè)中斷源全雙工UART串行通道低功耗空閑和掉電模式掉電后中斷可喚醒看門狗定時(shí)器雙數(shù)據(jù)指針掉電標(biāo)識符二技能目標(biāo)1.通過數(shù)字溫度系統(tǒng)的設(shè)計(jì),使學(xué)生具有分析設(shè)計(jì)需求

AT89S52單片機(jī)有四種引腳結(jié)構(gòu),如圖4-2所示。圖4-2AT89S52單片機(jī)四種引腳結(jié)構(gòu)AT89S52單片機(jī)有四種引腳結(jié)構(gòu),如圖4-2所示。符號位地址功能描述EAIE.7中斷總允許控制位。EA=0,中斷總禁止;EA=1,各中斷由各自的控制位設(shè)定。IE.6預(yù)留ET2IE.5定時(shí)器2中斷允許控制位ESIE.4串行口中斷允許控制位ET1IE.3定時(shí)器1中斷允許控制位EX1IE.2外部中斷1允許控制位ET0IE.1定時(shí)器0中斷允許控制位EX0IE.0外部中斷1允許控制位四技術(shù)指標(biāo)1.溫度測量精度達(dá)到0.1℃。2.水溫人工設(shè)定時(shí)可實(shí)現(xiàn)同步溫度值顯示。3.報(bào)警上下限值可人工自由設(shè)定。4.溫度數(shù)據(jù)可存儲在外部擴(kuò)展存儲器中。符號位地址功能描述EAIE.7中斷總允許控制位。EA=0,中溫度傳感器1.2基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)設(shè)計(jì)

DS18B20具有以下特點(diǎn):采用單線(1-wire)技術(shù),與微處理器通信只需一根線。微處理器通過識別DS18B20各自唯一的產(chǎn)品序列號,可實(shí)現(xiàn)多個(gè)DS18B20掛接在同一單線總線上,從而非常便利的構(gòu)成多點(diǎn)溫度檢測系統(tǒng)。工作電源既可在遠(yuǎn)端引入,也可采用寄生電源方式產(chǎn)生。溫度測量范圍為-55℃~+125℃,在-10℃~+85℃范圍內(nèi)測量誤差為±0.5℃。溫度傳感器的分辨率可由用戶從9位到12位自由設(shè)定,對應(yīng)的溫度值分辨率分別為0.5℃、0.25℃、0.125℃和0.0625℃。被測溫度采用16位符號擴(kuò)展的二進(jìn)制補(bǔ)碼格式串行輸出。將12位的溫度值轉(zhuǎn)換為數(shù)字量所需要的時(shí)間不超過750ms。用戶可通過非易失性溫度報(bào)警觸發(fā)器自行設(shè)定報(bào)警的上下限溫度值。微處理器通過報(bào)警搜索命令可及時(shí)識別出正在報(bào)警的器件。溫度傳感器1.2基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)設(shè)計(jì)D圖4-3DS18B20的內(nèi)部結(jié)構(gòu)圖圖4-3DS18B20的內(nèi)部結(jié)構(gòu)圖序號高速暫存器EEPROM存儲器0溫度值低位字節(jié)1溫度值高位字節(jié)2TH/用戶寄存器字節(jié)1TH/用戶寄存器字節(jié)13TL/用戶寄存器字節(jié)2TL/用戶寄存器字節(jié)24配置寄存器配置寄存器5保留字節(jié)6保留字節(jié)7保留字節(jié)8CRC字節(jié)表4-2DS18B20內(nèi)部存儲器組織結(jié)構(gòu)圖0R1R211111表4-3序號高速暫存器EEPROM存儲器0溫度值低位字節(jié)1溫度值高位R1R0分辨率(位)最大轉(zhuǎn)換時(shí)間(ms)00993.750110187.510113751112750表4-4圖4-4DS18B20的管腳排列R1R0分辨率(位)最大轉(zhuǎn)換時(shí)間(ms圖4-5DS18B20與微處理器的典型連接圖圖4-5DS18B20與微處理器的典型連接圖DS18B20中的單線(1-wire)技術(shù)圖4-6單總線硬件接口示意圖DS18B20中的單線(1-wire)技術(shù)圖4-6單總線硬智能水溫控制系統(tǒng)組成1.3基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)設(shè)計(jì)一單片機(jī)系統(tǒng)選擇系統(tǒng)的擴(kuò)展和配置應(yīng)遵循以下原則:盡可能選擇典型電路,并符合單片機(jī)常規(guī)用法。為硬件系統(tǒng)的標(biāo)準(zhǔn)化、模塊化打下良好的基礎(chǔ)。系統(tǒng)擴(kuò)展與外圍設(shè)備的配置水平應(yīng)充分滿足應(yīng)用系統(tǒng)的功能要求,并留有適當(dāng)余地以便進(jìn)行二次開發(fā)。硬件結(jié)構(gòu)應(yīng)結(jié)合應(yīng)用軟件方案一并考慮。硬件結(jié)構(gòu)與軟件方案會產(chǎn)生相互影響,考慮的一般規(guī)則是軟件能實(shí)現(xiàn)的功能盡可能由軟件實(shí)現(xiàn),以簡化硬件結(jié)構(gòu)。但必須注意,由軟件實(shí)現(xiàn)的硬件功能一般響應(yīng)時(shí)間比硬件實(shí)現(xiàn)長,且占用CPU時(shí)間。智能水溫控制系統(tǒng)組成1.3基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)系統(tǒng)中的相關(guān)器件要盡可能做到性能匹配。如選用CMOS芯片單片機(jī)構(gòu)成低功耗系統(tǒng)時(shí),系統(tǒng)中所有芯片都應(yīng)盡可能選擇低功耗產(chǎn)品??煽啃约翱垢蓴_設(shè)計(jì)是硬件設(shè)計(jì)必不可少的一部分,它包括芯片、器件選擇、去耦濾波、印刷電路板布線、通道隔離等。單片機(jī)外圍電路較多時(shí),必須考慮其驅(qū)動(dòng)能力。驅(qū)動(dòng)能力不足時(shí),系統(tǒng)工作不可靠,可通過增設(shè)線驅(qū)動(dòng)器增強(qiáng)驅(qū)動(dòng)能力或減少芯片功耗來降低總線負(fù)載。盡量朝單片方向設(shè)計(jì)硬件系統(tǒng)。系統(tǒng)器件越多,器件之間相互干擾也越強(qiáng),功耗也增大,也不可避免地降低了系統(tǒng)的穩(wěn)定性。系統(tǒng)中的相關(guān)器件要盡可能做到性能匹配。如選用CMOS芯片單片圖4-7單片機(jī)應(yīng)用系統(tǒng)電路圖4-7單片機(jī)應(yīng)用系統(tǒng)電路二基于DS18B20溫度傳感器模塊圖4-8基于DS18B20溫度傳感器模塊連接圖圖4-9信息存儲模塊二基于DS18B20溫度傳感器模塊圖4-8基于DS18B20三液晶顯示模塊引腳序號引腳符號狀態(tài)功能描述1VSS電源地2VDD正5V電源3V0液晶驅(qū)動(dòng)電源4RS輸入寄存器選擇5R/W輸入讀寫操作選擇6E輸入使能信號7DB0三態(tài)數(shù)據(jù)總線8DB1三態(tài)數(shù)據(jù)總線9DB2三態(tài)數(shù)據(jù)總線10DB3三態(tài)數(shù)據(jù)總線11DB4三態(tài)數(shù)據(jù)總線12DB5三態(tài)數(shù)據(jù)總線13DB6三態(tài)數(shù)據(jù)總線14DB7三態(tài)數(shù)據(jù)總線15LED+輸入背光LED電源正極16LED-輸入背光LED電源負(fù)極三液晶顯示模塊引腳序號引腳符號狀態(tài)功能描述1VSS電源地2V液晶引腳功能詳細(xì)介紹如下:液晶模塊的指令格式RSR/WDB0DB1DB2DB3DB4DB5DB6DB7指令與操作對照表RSR/WE操作00下降沿指令寄存器寫入01高電平忙標(biāo)志和地址計(jì)數(shù)器讀出10下降沿?cái)?shù)據(jù)寄存器寫入10高電平數(shù)據(jù)寄存器讀出液晶引腳功能詳細(xì)介紹如下:液晶模塊的指令格式RSR/WDB0液晶指令功能詳細(xì)介紹:指令指令碼說明指令周期fmax=250kHzRSR/WDB7DB6DB5DB4DB3DB2DB1DB0清屏0000000001清除屏幕,置AC為0,光標(biāo)回位1.64ms光標(biāo)返回000000001*DDRAM地址為0,顯示回原位,DDRAM內(nèi)容不變1.64ms設(shè)置輸入方式00000001I/DS設(shè)置光標(biāo)移動(dòng)方向并指定顯示是否移動(dòng)40us顯示開關(guān)0000001DCB設(shè)置顯示開關(guān)D,光標(biāo)開關(guān)C,光標(biāo)所在字符閃爍B40us移位000001S/CR/L**移動(dòng)光標(biāo)及整體顯示,同時(shí)不改變DDRAM內(nèi)容40us功能設(shè)置00001DLNF**設(shè)置接口數(shù)據(jù)位數(shù)DL,顯示行數(shù)L,字符字體F40usCGRAM地址設(shè)置0001ACG設(shè)置CGRAM地址,設(shè)置后發(fā)送接收數(shù)據(jù)40usDDRAM地址設(shè)置001ADD設(shè)置DDRAM地址,設(shè)置后發(fā)送接收數(shù)據(jù)40us忙標(biāo)志/讀地址計(jì)數(shù)器01BFACX忙標(biāo)志BF標(biāo)志正在執(zhí)行內(nèi)部操作并讀地址計(jì)數(shù)器內(nèi)容0usCGRAM/DDRAM數(shù)據(jù)寫10寫數(shù)據(jù)從CGRAM或DDRAM寫數(shù)據(jù)40usCGRAM/DDRAM數(shù)據(jù)讀11讀數(shù)據(jù)從CGRAM或DDRAM讀數(shù)據(jù)40usI/D=1:增量方式;I/D=0:減量方式S=1:移位S/C=1:顯示移位;S/C=0:光標(biāo)移位R/L=1:右移;R/L=0:左移DL=1:8位;DL=0:4位N=1:2行;N=0:1行F=1:5×10字體

F=0:5×7字體BF=1:執(zhí)行內(nèi)部操作;BF=0:可接收指令DDRAM:顯示數(shù)據(jù)RAMCGRAM:字符發(fā)生器RAMACG:DDRAM地址及光標(biāo)地址AC:地址計(jì)數(shù)器,用于DDRAM和CGRAM執(zhí)行周期主頻改變而改變例如當(dāng)fcp或fosc=270kHz時(shí):40us×250/270=37us液晶指令功能詳細(xì)介紹:指令指令碼說明指令周期RSR/WDB四液晶模塊執(zhí)行指令的基本過程控制液晶顯示的代碼執(zhí)行過程如圖4-10圖4-10液晶顯示的流程圖四液晶模塊執(zhí)行指令的基本過程控制液晶顯示的代碼執(zhí)行過程如圖4RSR/WDB7DB6DB5DB4DB3DB2DB1DB001BFXXXXXXX表4-10LCD顯示過程如下:①初始化模塊RSR/WDB7DB6DB5DB4DB3DB2DB1DB000011XXXXX②首先根據(jù)需要,用指令表中的前6條指令,設(shè)定好LCD的工作顯示方式。③設(shè)定DDRAM地址(第8條指令)DDRAM(顯示數(shù)據(jù))可以理解為字符在LCD上的位置對應(yīng)相關(guān)RAM的地址:表4-12RSR/WDB7DB6DB5DB4DB3DB2DB1DB00設(shè)置DDRAM地址的指令為:表4-13表4-14設(shè)置DDRAM地址的指令為:表4-14五智能水溫控制系統(tǒng)硬件系統(tǒng)方案圖4-11電源模塊原理圖五智能水溫控制系統(tǒng)硬件系統(tǒng)方案圖4-11電源模塊原理圖圖4-12單片機(jī)控制模塊原理圖圖4-12單片機(jī)控制模塊原理圖圖4-13蜂鳴器報(bào)警模塊和液晶顯示模塊原理圖圖4-13蜂鳴器報(bào)警模塊和液晶顯示模塊原理圖圖4-14鍵盤輸入模塊原理圖圖4-14鍵盤輸入模塊原理圖圖4-15溫度傳感器模塊和繼電器模塊原理圖圖4-15溫度傳感器模塊和繼電器模塊原理圖軟件系統(tǒng)設(shè)計(jì)1.4基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)設(shè)計(jì)圖4-16(a)初始化時(shí)序圖4-16(b)寫時(shí)序軟件系統(tǒng)設(shè)計(jì)1.4基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)設(shè)計(jì)圖4voidInitial_DS18B20(void)//產(chǎn)生復(fù)位脈沖初始化DS18B20{ unsignedchari;/*產(chǎn)生約900us的低電平脈沖*/ DQ=0; i=100; while(i>0) i--; /*產(chǎn)生上升沿*/ DQ=1;/*等待約40us*/ i=4; while(i>0) i--;}

voidWait_Response(void)//等待DS18B20產(chǎn)生的應(yīng)答脈沖{unsignedcharj; while(DQ); while(~DQ) //檢測到應(yīng)答脈沖{ j=4; while(j>0) j--;}}初始化voidInitial_DS18B20(void)//寫0和寫1操作voidWrite_Byte(unsignedchard)//寫一個(gè)字節(jié)的數(shù)據(jù),包括寫0和寫1操作

{ unsignedchari,j; bittemp; for(j=1;j<=8;j++) { temp=d&0x01;//取寫入數(shù)據(jù)的最低位 d=d>>1; //數(shù)據(jù)右移一位,取數(shù)據(jù)的次低位 if(temp)//寫1操作 { DQ=0; i++;i++; //延時(shí)15us后拉高數(shù)據(jù)線DQ DQ=1; i=8; while(i>0)i--;//再延時(shí)保證寫1時(shí)間片不低于60us } else//寫0操作 { DQ=0; i=8; while(i>0)i--;//維持60us到120us的低電平 DQ=1; i++; i++; } }}寫0和寫1操作voidWrite_Byte(unsigne圖4-16(c)讀時(shí)序圖4-16(c)讀時(shí)序bitRead_Bit(void)//讀取數(shù)據(jù)的一位{ unsignedchari; bittmp; DQ=0; i++; DQ=1; i++;i++;//讀時(shí)隙下降沿后15us,DS18B20輸出數(shù)據(jù)有效

tmp=DQ; i=8; while(i>0)i--; return(tmp);}

unsignedcharRead_Byte(void)//讀取數(shù)據(jù)的一個(gè)字節(jié){uchari,j,d;d=0; for(i=1;i<=8;i++) {j=Read_Bit(); d=(j<<7)|(d>>1); } return(d);}讀0和讀1操作bitRead_Bit(void)//讀取數(shù)據(jù)的一位讀0指令代碼ReadROM(讀ROM)[33H]MatchROM(匹配ROM)[55H]SkipROM(跳過ROM)[CCH]SearchROM(搜索ROM)[F0H]Alarmsearch(告警搜索)[ECH]指令代碼WriteScratchpad(寫暫存存儲器)[4EH]ReadScratchpad(讀暫存存儲器)[BEH]CopyScratchpad(復(fù)制暫存存儲器)[48H]ConvertTemperature(溫度變換)[44H]RecallEPROM(重新調(diào)出)[B8H]ReadPowersupply(讀電源)[B4H]指令代碼ReadROM(讀ROM)[33H]MatchR開始

初始化DS18B20ROM操作命令DS18B20存在?

返回初始化DS18B20延時(shí)等待溫度轉(zhuǎn)換完成溫度轉(zhuǎn)換啟動(dòng)命令DS18B20存在?ROM操作命令讀暫存器命令讀取溫度值圖4-17測溫程序流程圖開始初始化DS18B20ROM操作命令DS18圖4-18鍵盤控制程序流程圖圖4-18鍵盤控制程序流程圖圖4-19水溫控制系統(tǒng)流程圖圖4-19水溫控制系統(tǒng)流程圖選擇部件,控制水溫的精度,對項(xiàng)目進(jìn)行改進(jìn)1.5基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)設(shè)計(jì)圖4-20項(xiàng)目進(jìn)行改進(jìn)選擇部件,控制水溫的精度,對項(xiàng)目進(jìn)行改進(jìn)1.5基于可編程溫度項(xiàng)目小結(jié)與建議1.6基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)設(shè)計(jì)一概念復(fù)習(xí)整個(gè)項(xiàng)目涉及的主要知識點(diǎn)有:單片機(jī)應(yīng)用系統(tǒng)液晶顯示模塊的使用溫度傳感器DS18B20的工作原理和各種操作命令單線(1-wire)技術(shù)溫度傳感器數(shù)據(jù)存儲器時(shí)序圖和程序框圖項(xiàng)目小結(jié)與建議1.6基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)設(shè)計(jì)一二技能回顧整個(gè)項(xiàng)目涉及的主要技能有:怎樣進(jìn)行項(xiàng)目分析如何選擇合適的器件和芯片單片機(jī)系統(tǒng)的選擇單線通信技術(shù)硬件模塊電路的設(shè)計(jì)如何根據(jù)工作時(shí)序圖編寫程序如何繪制程序流程圖以及編寫相應(yīng)的程序二技能回顧整個(gè)項(xiàng)目涉及的主要技能有:項(xiàng)目訓(xùn)練1.7基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)設(shè)計(jì)一濕度監(jiān)測系統(tǒng)具有以下功能1.實(shí)時(shí)采集和記錄各個(gè)空間溫濕度情況,進(jìn)行實(shí)時(shí)的顯示,可以采集多通道。2.所有的數(shù)據(jù)采集和記錄到一臺主機(jī)計(jì)算機(jī)上,數(shù)據(jù)可以按照使用人員的要求定時(shí)自動(dòng)備份、打印、歸檔等。3.可以實(shí)時(shí)顯示不同的測溫點(diǎn)的溫度曲線變化及歷史溫度曲線變化,可以同時(shí)顯示多個(gè)不同測溫點(diǎn)的溫度曲線,更方便比較分析。4.在出現(xiàn)異常數(shù)據(jù)的時(shí)候,可以按照使用人員指定的方式輸出多種報(bào)警。如鳴笛報(bào)警、電話報(bào)警、短信報(bào)警、E-MAIL報(bào)警。5.局域網(wǎng)內(nèi)的遠(yuǎn)程計(jì)算機(jī)在經(jīng)過授權(quán)后,可以讀取主機(jī)計(jì)算機(jī)上的實(shí)時(shí)數(shù)據(jù),實(shí)現(xiàn)遠(yuǎn)程的監(jiān)測。項(xiàng)目訓(xùn)練1.7基于可編程溫度傳感器的數(shù)字溫度系統(tǒng)設(shè)計(jì)一濕度監(jiān)DDS技術(shù)介紹2.1基于DDS的信號發(fā)生器設(shè)計(jì)本項(xiàng)目要求我們在學(xué)習(xí)掌握DDS技術(shù)的前提下,利用ADI公司的專用DDS芯片AD9851、AD9854、AD9954三款芯片設(shè)計(jì)出三種信號發(fā)生器。并要求信號發(fā)生器具有以下功能:1.具有產(chǎn)生正弦波、三角波、方波三種周期性波形的功能。2.輸出波形的幅度和頻率均可調(diào)。3.具有顯示波形類型、頻率和幅度的功能。我們除了要完成信號發(fā)生器設(shè)計(jì)之外,還要認(rèn)真比較和總結(jié)三款不同DDS芯片的各自優(yōu)缺點(diǎn)以及適用場合。一DDS技術(shù)的基本原理與頻率控制字K的關(guān)系為:其中Fc為相位累加器的時(shí)鐘頻率,N為相位累加器的位數(shù)。定義當(dāng)K=1為系統(tǒng)頻率分辨率f0,即有

DDS的輸入頻率控制字K=FW=DDS技術(shù)介紹2.1基于DDS的信號發(fā)生器設(shè)計(jì)本項(xiàng)目要圖4-21DDS工作原理框圖圖4-22DDS基本結(jié)構(gòu)圖圖4-21DDS工作原理框圖圖4-22DDS基本結(jié)構(gòu)二DDS的基本結(jié)構(gòu)1.相位累加器2.正弦查詢表ROM3.數(shù)模轉(zhuǎn)換器DAC三DDS技術(shù)的特點(diǎn)1.頻率轉(zhuǎn)換時(shí)間短2.頻率分辨率高3.相位連續(xù)4.具有低相位噪聲和低漂移5.易于集成和控制二DDS的基本結(jié)構(gòu)1.相位累加器2.正弦查詢表ROM3.信號發(fā)生器的構(gòu)成2.2基于DDS的信號發(fā)生器設(shè)計(jì)一如何用AD9851構(gòu)成信號發(fā)生器AD9851技術(shù)資料簡介

AD9851是ADI公司采用先進(jìn)DDS技術(shù)推出的具有高集成度DDS電路的器件,它內(nèi)部包含高速高性能D/A轉(zhuǎn)換器及高速比較器,可作為全數(shù)字編程控制的頻率合成器和時(shí)鐘發(fā)生器。外接精密時(shí)鐘源時(shí),AD9851可以產(chǎn)生一個(gè)頻譜純凈、頻率和相位都可以編程控制且穩(wěn)定性很好的模擬正弦波,這個(gè)正弦波能夠直接作為基準(zhǔn)信號源或通過其內(nèi)部高速比較器轉(zhuǎn)換成方波輸出。信號發(fā)生器的構(gòu)成2.2基于DDS的信號發(fā)生器設(shè)計(jì)一如何用AD

AD9851主要特性參數(shù)如下:●單電源工作(+2.7~+5.25V)?!窆ぷ鳒囟确秶?45~85℃。●低功耗,在180MHz系統(tǒng)時(shí)鐘下,功率為555mW;電源設(shè)置有休眠狀態(tài),在該狀態(tài)下,功率為4mW?!駜?nèi)含6倍參考時(shí)鐘倍乘器,可避免對外部高速參考時(shí)鐘振蕩器的需要,減小了由于外部頻率源過高而可能產(chǎn)生的相位噪聲?!耦l帶寬,正常輸出工作頻率范圍為0~72MHz?!耦l率分辨率高,32位的頻率控制字,使得它在180MHz系統(tǒng)時(shí)鐘下輸出頻率的精度可達(dá)0.04Hz?!裣辔豢烧{(diào),可接收來自單片機(jī)的5位相位控制字?!窠涌诤唵?,可用單片機(jī)8位并行口或串行口直接輸入頻率、相位控制數(shù)據(jù)。AD9851為28引腳表帖元件,其引腳排列如圖4-23所示。AD9851主要特性參數(shù)如下:

AD9851的各引腳功能詳細(xì)介紹如下所示:D0~D7:8位數(shù)據(jù)輸入口,可給內(nèi)部寄存器裝入40位控制數(shù)據(jù)。PGND:6倍參考時(shí)鐘倍乘器地。PVCC:6倍參考時(shí)鐘倍乘器電源。W_CLK:字裝入信號,上升沿有效。FQ_UD:頻率更新控制信號,時(shí)鐘上升沿確認(rèn)輸入數(shù)據(jù)有效。REFCLOCK:外部參考時(shí)鐘輸入。CMOS/TTL脈沖序列可直接或間接地加到6倍參考時(shí)鐘倍乘器上。在直接方式中,輸入頻率即是系統(tǒng)時(shí)鐘;在6倍參考時(shí)鐘倍乘器方式下,系統(tǒng)時(shí)鐘為倍乘器輸出。AGND:模擬地。AVDD:模擬電源(+5V)。DGND:數(shù)字地。DVDD:數(shù)字電源(+5V)。RSET:DAC外部復(fù)位連接端。VOUTN:內(nèi)部比較器負(fù)向輸出端。VOUTP:內(nèi)部比較器正向輸出端。VINN:內(nèi)部比較器的負(fù)向輸入端。VINP:內(nèi)部比較器的正向輸入端。DACBP:DAC旁路連接端。IOUTB:互補(bǔ)DAC輸出。IOUT:內(nèi)部DAC輸出端。RESET:復(fù)位端。低電平清除DDS累加器和相位延遲器為0Hz和相位,同時(shí)置數(shù)據(jù)輸入為并行模式以及禁止6倍參考時(shí)鐘倍乘器工作。AD9851的各引腳功能詳細(xì)介紹如下所示:AD9851的應(yīng)用特性和電路設(shè)計(jì)圖4-24信號發(fā)生器的系統(tǒng)結(jié)構(gòu)框圖AD9851的應(yīng)用特性和電路設(shè)計(jì)圖4-24信號發(fā)生器的系統(tǒng)圖4-25基于AD9851的信號發(fā)生器的硬件電路圖4-25基于AD9851的信號發(fā)生器的硬件電路圖4-26濾波器組成原理圖圖4-26濾波器組成原理圖二如何用AD9854構(gòu)成信號發(fā)生器AD9854技術(shù)資料簡介

AD9854主要特性參數(shù)如下:●最高可達(dá)300MHz的工作頻率,輸出頻率范圍為0~120MHz?!窨奢敵鯢SK、PSK、BPSK、AM、CHIRP等不同類型的信號?!駜?yōu)良的SFDR性能。●時(shí)鐘輸入有4到20倍可編程倍頻器,倍頻器采用PLL倍頻,這樣可以減輕參考時(shí)鐘設(shè)計(jì)的壓力?!裣辔焕奂悠髦芭鋫淞祟l率累加器,可方便實(shí)現(xiàn)任意變頻模式輸出以及各種調(diào)頻模式?!穹葱粮窈瘮?shù)濾波器(inversesincfilter)進(jìn)行幅度補(bǔ)償。●配置高速比較器,可以實(shí)現(xiàn)同步時(shí)鐘輸出?!?148dBc/Hz的相位噪聲水平?!?μHz的頻率分辨率,頻率切換時(shí)間最快達(dá)100ns?!?線或3線串行接口,讀寫速度為100ns;8位數(shù)據(jù)、6位地址并行接口及讀控制輸入,讀寫速度達(dá)10ns。二如何用AD9854構(gòu)成信號發(fā)生器AD9854技術(shù)資料簡介圖4-27AD9854的引腳圖4-27AD9854的引腳電子技術(shù)(實(shí)訓(xùn)篇_第二版)綜合實(shí)訓(xùn)課件三如何用AD9954構(gòu)成信號發(fā)生器AD9954技術(shù)資料簡介

AD9954主要特性參數(shù)如下:●內(nèi)置400MSPS時(shí)鐘。●內(nèi)含l4位DAC?!裣辔弧⒎瓤删幊?。●32位頻率控制字?!?4位相位轉(zhuǎn)換分辨率?!裣辔辉肼晝?yōu)于-120dB/Hz?!駜?yōu)良的動(dòng)態(tài)特性,大于80dB的窄帶SFDR?!窨捎么蠭/O控制?!駜?nèi)置超高速模擬比較器?!窨勺詣?dòng)線性和非線性掃頻。●內(nèi)部集成有1024×32位RAM?!癫捎?.8V電源供電?!窨蓪?shí)現(xiàn)4~20倍時(shí)鐘倍頻?!裰С执蠖鄶?shù)數(shù)字輸入中的5V輸入電平?!窨蓪?shí)現(xiàn)多片同步?!衲軌蜻M(jìn)行相位調(diào)制。三如何用AD9954構(gòu)成信號發(fā)生器AD9954技術(shù)資料簡介圖4-29AD9954引腳圖4-29AD9954引腳AD9954的應(yīng)用特性和電路設(shè)計(jì)AD9954的應(yīng)用特性和電路設(shè)計(jì)表4-17控制寄存器表4-17控制寄存器電子技術(shù)(實(shí)訓(xùn)篇_第二版)綜合實(shí)訓(xùn)課件如何實(shí)現(xiàn)基于DDS的信號發(fā)生器2.3基于DDS的信號發(fā)生器設(shè)計(jì)

DDS信號發(fā)生器的技術(shù)指標(biāo)主要有:●工作頻率范圍●工作頻率分辨率●工作頻率穩(wěn)定度●輸出波形幅度●輸出波形種類基于DDS的信號發(fā)生器有以下幾種實(shí)現(xiàn)方案:一采用FPGA實(shí)現(xiàn)DDS信號發(fā)生器二采用DDS+PLL方案實(shí)現(xiàn)DDS信號發(fā)生器如何實(shí)現(xiàn)基于DDS的信號發(fā)生器2.3基于DDS的信號發(fā)生器設(shè)圖4-31DDS+PLL混頻方案設(shè)計(jì)圖4-32DDS激勵(lì)PLL混頻方案設(shè)計(jì)圖4-31DDS+PLL混頻方案設(shè)計(jì)圖4-32DDS三單片機(jī)控制DDS芯片實(shí)現(xiàn)DDS信號發(fā)生器圖4-33單片機(jī)控制DDS芯片實(shí)現(xiàn)DDS信號發(fā)生器三單片機(jī)控制DDS芯片實(shí)現(xiàn)DDS信號發(fā)生器圖4-33單片機(jī)軟件設(shè)計(jì)2.4基于DDS的信號發(fā)生器設(shè)計(jì)圖4-34AD9851的軟件流程圖軟件設(shè)計(jì)2.4基于DDS的信號發(fā)生器設(shè)計(jì)圖4-34AD9項(xiàng)目小節(jié)與建議2.5基于DDS的信號發(fā)生器設(shè)計(jì)首先要設(shè)計(jì)硬件電路,然后再根據(jù)硬件電路設(shè)計(jì)軟件。由于AD9851、AD9854、AD9954三款DDS芯片都是ADI公司推出的高速、高集成度DDS器件,只是在性能和功耗方面有所不同,所以我們在進(jìn)行硬件電路設(shè)計(jì)時(shí)可以先設(shè)計(jì)出相對比較簡單的DDS模塊電路,然后再參考已經(jīng)完成的電路來設(shè)計(jì)較復(fù)雜的DDS模塊電路。比如,可以先設(shè)計(jì)出基于AD9851的模塊電路,通過對AD9851模塊電路的理解和分析,再進(jìn)行基于AD9854和AD9954模塊電路的設(shè)計(jì),這樣可能有助于降低硬件電路的設(shè)計(jì)難度。項(xiàng)目小節(jié)與建議2.5基于DDS的信號發(fā)生器設(shè)計(jì)首先要設(shè)計(jì)項(xiàng)目訓(xùn)練2.6基于DDS的信號發(fā)生器設(shè)計(jì)其具體指標(biāo)如下:1.基本部分(1)正弦波輸出頻率范圍:1kHz~10MHz;(2)具有頻率設(shè)置功能,頻率步進(jìn):100Hz;(3)輸出信號頻率穩(wěn)定度:優(yōu)于10-4;(4)輸出電壓幅度:在負(fù)載電阻上的電壓峰-峰值Vopp≥1V;(5)失真度:用示波器觀察時(shí)無明顯失真。項(xiàng)目訓(xùn)練2.6基于DDS的信號發(fā)生器設(shè)計(jì)其具體指標(biāo)如下:1.2.發(fā)揮部分在完成基本要求任務(wù)的基礎(chǔ)上,增加如下功能:(1)增加輸出電壓幅度:在頻率范圍內(nèi)負(fù)載電阻上正弦信號輸出電壓的峰-峰值Vopp=6V±1V;(2)產(chǎn)生模擬幅度調(diào)制(AM)信號:在1MHz~10MHz范圍內(nèi)調(diào)制度ma可在10%~100%之間程控調(diào)節(jié),步進(jìn)量10%,正弦調(diào)制信號頻率為1kHz,調(diào)制信號自行產(chǎn)生;(3)產(chǎn)生模擬頻率調(diào)制(FM)信號:在100kHz~10MHz頻率范圍內(nèi)產(chǎn)生10kHz最大頻偏,且最大頻偏可分為5kHz/10kHz二級程控調(diào)節(jié),正弦調(diào)制信號頻率為1kHz,調(diào)制信號自行產(chǎn)生;(4)產(chǎn)生二進(jìn)制PSK、ASK信號:在100kHz固定頻率載波進(jìn)行二進(jìn)制鍵控,二進(jìn)制基帶序列碼速率固定為10kbps,二進(jìn)制基帶序列信號自行產(chǎn)生。2.發(fā)揮部分在完成基本要求任務(wù)的基礎(chǔ)上,增加如下功能:FPGA技術(shù)介紹3.1基于FPGA的數(shù)字存儲示波器設(shè)計(jì)

FPGA是英文FieldProgrammableGateArray的縮寫,即現(xiàn)場可編程門陣列,它是在PAL、GAL、EPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。它是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點(diǎn)。FPGA采用了邏輯單元陣列LCA(LogicCellArray)這樣一個(gè)新概念,內(nèi)部包括可配置邏輯模塊CLB(ConfigurableLogicBlock)、輸出輸入模塊IOB(InputOutputBlock)和內(nèi)部連線(Interconnect)三個(gè)部分。FPGA的基本特點(diǎn)主要有:●采用FPGA設(shè)計(jì)ASIC電路,用戶不需要投片生產(chǎn),就能得到合用的芯片?!馞PGA可做其它全定制或半定制ASIC電路的中試樣片?!馞PGA內(nèi)部有豐富的觸發(fā)器和I/O引腳?!馞PGA是ASIC電路中設(shè)計(jì)周期最短、開發(fā)費(fèi)用最低、風(fēng)險(xiǎn)最小的器件之一。●FPGA采用高速CHMOS工藝,功耗低,可以與CMOS、TTL電平兼容。FPGA技術(shù)介紹3.1基于FPGA的數(shù)字存儲示波器設(shè)計(jì)如何用單片機(jī)完成數(shù)字存儲示波器系統(tǒng)3.2基于FPGA的數(shù)字存儲示波器設(shè)計(jì)該示波器具有以下功能:●示波器頻帶寬度為20MHz,誤差≤5%。●具有雙蹤示波功能,能同時(shí)顯示兩路被測信號波形?!衲M數(shù)字轉(zhuǎn)換器(A/D):8bit分辨率;采樣速率:實(shí)時(shí)采樣率40MSa/s?!窨稍O(shè)置多檔垂直靈敏度,誤差≤5%?!窬哂胁ㄐ未鎯δ?。●具有頻譜分析功能?!癫僮鹘缑婷烙^方便。

圖4-40數(shù)字示波器結(jié)構(gòu)示意圖如何用單片機(jī)完成數(shù)字存儲示波器系統(tǒng)3.2基于FPGA的數(shù)字存數(shù)字存儲示波器組成3.3基于FPGA的數(shù)字存儲示波器設(shè)計(jì)一硬件電路設(shè)計(jì)1、 模擬信號調(diào)理電圖4-41模擬信號調(diào)理電路圖數(shù)字存儲示波器組成3.3基于FPGA的數(shù)字存儲示波器設(shè)計(jì)一硬2、 數(shù)據(jù)采集與傳輸電路圖4-42數(shù)據(jù)采集與傳輸電路圖2、 數(shù)據(jù)采集與傳輸電路圖4-42數(shù)據(jù)采集與傳輸電路圖3、單片機(jī)與FPGA控制電路3、單片機(jī)與FPGA控制電路4、波形數(shù)據(jù)存儲電路圖4-44波形數(shù)據(jù)存儲電路圖4、波形數(shù)據(jù)存儲電路圖4-44波形數(shù)據(jù)存儲電路圖二軟件設(shè)計(jì)1.

FPGA軟件控制部分二軟件設(shè)計(jì)1.FPGA軟件控制部分圖4-45FPGA頂層模塊原理圖格式圖4-45FPGA頂層模塊原理圖格式2.單片機(jī)軟件控制部分單片機(jī)部分的軟件主要是實(shí)現(xiàn)波形數(shù)據(jù)通過串口的傳輸以及上位機(jī)對檔位和頻率控制的代碼命令的傳輸。其程序流程圖如圖4-46所示。圖4-46單片機(jī)軟件控制程序系統(tǒng)框圖2.單片機(jī)軟件控制部分單片機(jī)部分的軟件主要是實(shí)現(xiàn)波形數(shù)3.上位機(jī)軟件程序圖4-47示波器與頻譜儀系統(tǒng)前面板3.上位機(jī)軟件程序圖4-47示波器與頻譜儀系統(tǒng)前面板圖4-48LabVIEW上位機(jī)程序框圖圖4-49串口選擇模塊VI圖4-48LabVIEW上位機(jī)程序框圖圖4-49串口選擇模圖4-50控制命令異或校驗(yàn)?zāi)K前面板圖4-50控制命令異或校驗(yàn)?zāi)K前面板圖4-51控制命令異或校驗(yàn)?zāi)K程序框圖圖4-51控制命令異或校驗(yàn)?zāi)K程序框圖圖4-52示波器與頻譜儀系統(tǒng)顯示VI程序框圖圖4-52示波器與頻譜儀系統(tǒng)顯示VI程序框圖圖4-53帶控制命令異或校驗(yàn)?zāi)K分支結(jié)構(gòu)的主程序框圖圖4-53帶控制命令異或校驗(yàn)?zāi)K分支結(jié)構(gòu)的主程序框圖圖4-54帶示波器與頻譜儀顯示模塊分支結(jié)構(gòu)的主程序框圖圖4-54帶示波器與頻譜儀顯示模塊分支結(jié)構(gòu)的主程序框圖如何實(shí)現(xiàn)單片機(jī)和FPGA技術(shù)的復(fù)雜系統(tǒng)開發(fā)3.4基于FPGA的數(shù)字存儲示波器設(shè)計(jì)一雙口RAM存儲器雙口RAM是一種特殊的數(shù)據(jù)存儲芯片,除了數(shù)據(jù)存儲功能外,它提供兩個(gè)完全獨(dú)立的端口,每個(gè)端口分別有自己的控制線、地址線和I/O數(shù)據(jù)線,允許雙端口同時(shí)讀寫數(shù)據(jù),適合控制器以總線方式對存儲空間進(jìn)行共享。二FPGA技術(shù)可編程邏輯器件的兩種類型是現(xiàn)場可編程門陣列(0)和復(fù)雜可編程邏輯器件(CPLD)。在這兩類可編程邏輯器件中,F(xiàn)PGA提供了最高的邏輯密度、最豐富的特性和最高的性能?,F(xiàn)在最新的FPGA器件,如XilinxVirtex?系列中的部分器件,可提供八百萬“系統(tǒng)門”(相對邏輯密度)。這些先進(jìn)的器件還提供諸如內(nèi)建的硬連線處理器(如IBMPowerPC)、大容量存儲器、時(shí)鐘管理系統(tǒng)等特性,并支持多種最新的超快速器件至器件(device-to-device)信號技術(shù)。FPGA被應(yīng)用于范圍廣泛的應(yīng)用中,從數(shù)據(jù)處理和存儲直到儀器儀表、電信和數(shù)字信號處理。如何實(shí)現(xiàn)單片機(jī)和FPGA技術(shù)的復(fù)雜系統(tǒng)開發(fā)3.4基

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論