如何讀懂FPGA開(kāi)發(fā)過(guò)程中的Vivado時(shí)序報(bào)告_第1頁(yè)
如何讀懂FPGA開(kāi)發(fā)過(guò)程中的Vivado時(shí)序報(bào)告_第2頁(yè)
如何讀懂FPGA開(kāi)發(fā)過(guò)程中的Vivado時(shí)序報(bào)告_第3頁(yè)
全文預(yù)覽已結(jié)束

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

如何讀懂FPGA開(kāi)發(fā)過(guò)程中的Vivado時(shí)序報(bào)告?FPGA開(kāi)發(fā)過(guò)程中,vivado和quartus等開(kāi)發(fā)軟件都會(huì)提供時(shí)序報(bào)告,以方便開(kāi)發(fā)者判斷自己的工程時(shí)序是否滿足時(shí)序要求。本文將詳細(xì)介紹如何讀懂Vivado時(shí)序報(bào)告,包括報(bào)告的基本結(jié)構(gòu)和如何分析報(bào)告。一、新建工程使用vivado創(chuàng)建一個(gè)新的工程,添加verilog代碼文件,內(nèi)容如下:創(chuàng)建xdc文件,并添加時(shí)序約束:點(diǎn)擊“generatebitestream”,開(kāi)始綜合、布線和生成bit文件。綜合完成后,可以在“Designrun”界面,看到整個(gè)工程時(shí)序滿足情況,主要資源消耗、編譯時(shí)間等等信息,如下圖。二、時(shí)序報(bào)告分析1、打開(kāi)時(shí)序報(bào)告界面(1)方法1點(diǎn)擊“實(shí)現(xiàn)implementation”下的“reporttimingsummary”選項(xiàng)。彈出時(shí)序顯示設(shè)置界面,如下圖所示,點(diǎn)擊OK。(2)方法2點(diǎn)擊功能欄“∑”,在“Timing”界面下,點(diǎn)擊“ImplementationTimingReport”。2、時(shí)序報(bào)告界面介紹Timing界面左側(cè)是時(shí)序信息總覽、時(shí)鐘和時(shí)序路徑分類,右側(cè)是時(shí)序信息總覽詳細(xì)信息,包括Setup、Hold以及PulseWidth檢查最差的各10條路徑。這里有幾個(gè)重要的參數(shù)信息名稱:這些參數(shù)如果為負(fù)或者顏色變?yōu)榧t色,則表示出現(xiàn)了時(shí)序違例,否則表示時(shí)序正常。3、時(shí)序路徑分析點(diǎn)擊時(shí)序報(bào)告界面中WNS的數(shù)值,如上面報(bào)告中的“5.875ns”,則會(huì)直接跳轉(zhuǎn)到時(shí)序最差路徑的界面。主要參數(shù)信息含義如下:如果slack出現(xiàn)紅色值,且為負(fù)值,則表示出現(xiàn)了時(shí)序違例。另外通過(guò)看level和fanout,可以看到路徑時(shí)序違例的原因,level值過(guò)大,則表示邏輯層數(shù)太多,需要考慮將這條路徑對(duì)應(yīng)HDL代碼分成幾拍完成;如果fanout值過(guò)大,則表示該寄存器的扇出過(guò)大。雙擊任意一條時(shí)序路徑,以“path1”為例,即可進(jìn)入該路徑的時(shí)序具體信息,主要包括summary(總覽)、SourceClockPath(源時(shí)鐘路徑)、DataPath(數(shù)據(jù)路徑)、DestinationClockPath(目的時(shí)鐘路徑)。這里可以查看到具體的時(shí)序布線情況,以及時(shí)序違例的原因。三、總結(jié)時(shí)序報(bào)告是Vivado中必不可少的工具,它可以幫助我們了解電路的時(shí)序性能,并找出潛在的時(shí)序問(wèn)題。通過(guò)分析時(shí)序報(bào)告,我們可以確定關(guān)鍵路徑延遲、slack和每個(gè)信號(hào)路徑的延遲等信息,

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論