單片機實習文檔_第1頁
單片機實習文檔_第2頁
單片機實習文檔_第3頁
單片機實習文檔_第4頁
單片機實習文檔_第5頁
已閱讀5頁,還剩17頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

PAGEPAGE1北華大學北華大學啤酒罐裝檢測系統(tǒng)單片機實習報告姓名:班級:學號:院系:指導教師:實習日期:

目錄實習的目的任務…………….…...3硬件介紹………………….…..….4軟件介紹…………………..….….7程序設計………...………………10實習體會………………...………20參考文獻………………...………20教師評語……………...…………21實習的目的與任務1.實習目的:1)熟悉并掌握單片機外圍芯片的應用方法2)掌握單片機應用系統(tǒng)一般的設計過程3)掌握51單片機定時器、外部中斷的使用方法4)利用KeiluV4軟件完成應用系統(tǒng)軟件設計5)利用STC-ISP軟件完成在系統(tǒng)編程、下載,并完成系統(tǒng)軟件調(diào)試2.實習任務:(1)實習題目:簡易的啤酒罐裝檢測系統(tǒng)(2)題目背景:某啤酒企業(yè)在生產(chǎn)啤酒過程中,需要人工實時監(jiān)測啤酒發(fā)酵時候的溫度,溫度控制的好壞直接影響啤酒的口感,影響企業(yè)效益。同時在啤酒裝瓶的時候,不裝滿的產(chǎn)品是不合格的產(chǎn)品,不合格的產(chǎn)品不能再市場上流通。(3)設計要求:1.用LCD12864顯示當前的日期。2.學會用18b20進行內(nèi)溫度的檢測,并且在液晶上實時顯示當前溫度。3.用一個普通I/O口模擬一個10s的脈沖信號,用來模擬裝瓶的過程4.裝瓶是否為合格品,在檢測到一個脈沖信號后,用TLC2543檢測當前的電壓值。當檢測的電壓值大于3V時,認為當前的產(chǎn)品為合格,好的個數(shù)要加1;否則,當前的產(chǎn)品為不合格,壞的個數(shù)要加1。5.產(chǎn)品的好壞以及產(chǎn)品好壞的個數(shù)在LCD12864上都要有所顯示。6.完成以上項目后,自由發(fā)揮,可以添加矩陣按鍵,蜂鳴器,電機驅(qū)動等更好的模擬生產(chǎn)啤酒的過程。(4)設計要求的簡易流程框圖,僅供參考。STCSTC89C52RCLCD1286418B20TLC2543檢測電壓檢測脈沖信號10S脈沖信號硬件介紹1、系統(tǒng)總體框圖圖1硬件設計整體框圖單片機介介紹AT89C52是一個低電壓,高性能CMOS

8位單片機,片內(nèi)含8kbytes的可反復擦寫的Flash只讀程序存儲器和256bytes的隨機存取數(shù)據(jù)存儲器(RAM),器件采用ATMEL公司的高密度、非易失性存儲技術(shù)生產(chǎn),兼容標準MCS-51指令系統(tǒng),片內(nèi)置通用8位中央處理器和Flash存儲單元,AT89C52單片機在電子行業(yè)中有著廣泛的應用。單片機引腳介紹P0口P0口是一組8位漏極開路型雙向I/O口,也即地址/數(shù)據(jù)總線復用口。作為輸出口用時,每位能吸收電流的方式驅(qū)動8個TTL邏輯門電路,對端口P0寫“1”時,可作為高阻抗輸入端用。在訪問外部數(shù)據(jù)存儲器或程序存儲器時,這組口線分時轉(zhuǎn)換地(低8位)和數(shù)據(jù)總線復用,在訪問期間激活內(nèi)部上拉電阻。在Flash編程時,P0口接收指令字節(jié),而在程序校驗時,輸出指令字節(jié),校驗時,要求外接上拉電阻,如圖所示。P1口P1是一個帶內(nèi)部上拉電阻的8位雙向I/O口,P1的輸出緩沖級可驅(qū)動(吸收或輸出電流)4個TTL邏輯門電路。對端口寫“1”,通過內(nèi)部的上拉電阻把端口拉到高電平,此時可作輸入口。作輸入口使用時,因為內(nèi)部存在上拉電阻,某個引腳被外部信號拉低時會輸出一個電流(IIL)。與AT89C51不同之處是,P1.0和P1.1還可分別作為定時/計數(shù)器2的外部計數(shù)輸入(P1.0/T2)和輸入(P1.1/T2EX),參見表1。Flash編程和程序校驗期間,P1接收低8位地址。表.P1.0和P1.1的第二功能引腳號功能特性P1.0T2,時鐘輸出P1.1T2EX(定時/計數(shù)器2)P2口P2是一個帶有內(nèi)部上拉電阻的8位雙向I/O口,P2的輸出緩沖級可驅(qū)動(吸收或輸出電流)4個TTL邏輯門電路。對端口P2寫“1”,通過內(nèi)部的上拉電阻把端口拉到高電平,此時可作輸入口,作輸入口使用時,因為內(nèi)部存在上拉電阻,某個引腳被外部信號拉低時會輸出一個電流(IIL)。在訪問外部程序存儲器或16位地數(shù)據(jù)存儲器(例如執(zhí)行MOVX@DPTR指令)時,P2口送出高8位地址數(shù)據(jù)。在訪問8位地址的外部數(shù)據(jù)存儲器(如執(zhí)行MOVX@RI指令)時,P2口輸出P2鎖存器的內(nèi)容。Flash編程或校驗時,P2亦接收高位地址和一些控制信號。P3口P3口是一組帶有內(nèi)部上拉電阻的8位雙向I/O口。P3口輸出緩沖級可驅(qū)動(吸收或輸出電流)4個TTL邏輯門電路。對P3口寫入“1”時,它們被內(nèi)部上拉電阻拉高并可作為輸入端口。此時,被外部拉低的P3口將用上拉電阻輸出電流(IIL)。P3口除了作為一般的I/O口線外,更重要的用途是它的第二功能P3口還接收一些用Flash閃速存儲器編程和程序校驗的控制信號。RST復位輸入。當振蕩器工作時,RST引腳出現(xiàn)兩個機器周期以上高電平將使單片機復位。ALE/PROG當訪問外部程序存儲器或數(shù)據(jù)存儲器時,ALE(地址鎖存允許)輸出脈沖用于鎖存地址的低8位字節(jié)。一般情況下,ALE仍以時鐘振蕩頻率的1/6輸出固定的脈沖信號,因此它可對外輸出時鐘或用于定時目的。要注意的是:每當訪問外部數(shù)據(jù)存儲器時將跳過一個ALE脈沖。對Flash存儲器編程期間,該引腳還用于輸入編程脈沖(PROG)。如有必要,可通過對特殊功能寄存器(SFR)區(qū)中的8EH單元的D0位置位,可禁止ALE操作。該位置位后,只有一條MOVX和MOVC指令才能將ALE激活。此外,該引腳會被微弱拉高,單片機執(zhí)行外部程序時,應設置ALE禁止位無效。PSEN程序儲存允許(PSEN)輸出是外部程序存儲器的讀選通信號,當AT89C52由外部程序存儲器取指令(或數(shù)據(jù))時,每個機器周期兩次PSEN有效,即輸出兩個脈沖。在此期間,當訪問外部數(shù)據(jù)存儲器,將跳過兩次PSEN信號。EA/VPP外部訪問允許。欲使CPU僅訪問外部程序存儲器(地址為0000H—FFFFH),EA端必須保持低電平(接地)。需注意的是:如果加密位LB1被編程,復位時內(nèi)部會鎖存EA端狀態(tài)。如EA端為高電平(接Vcc端),CPU則執(zhí)行內(nèi)部程序存儲器中的指令。Flash存儲器編程時,該引腳加上+12V的編程允許電源Vpp,當然這必須是該器件是使用12V編程電壓Vpp。XTAL1振蕩器反相放大器及內(nèi)部時鐘發(fā)生器的輸入端。XTAL2振蕩器反相放大器的輸出端。實驗硬件原理圖1)51單片機最小系統(tǒng)及外部引腳引出圖圖2單片機最小系統(tǒng)三、軟件介紹KeilC51是美國keil出品的51系統(tǒng)兼容單片機語言軟件開發(fā)系統(tǒng),與匯編相比,語言在功能上、結(jié)構(gòu)性、可讀性、可維護性上有明顯的優(yōu)勢,因而易學易用。用過匯編語言后再使用C語言開發(fā),體會更加深刻。KeilC51軟件提供豐富的庫函數(shù)和功能強大的集成開發(fā)調(diào)試工具,全Windows界面。另外重要的一點,只要看一下編譯后生成的匯編代碼,就能體會到KeilC51生成的目標代碼效率非常之高,多數(shù)語句生成的匯編代碼很緊湊,容易理解。在開發(fā)大型軟件時更能體會高級語言的優(yōu)勢。下面詳細介紹此次實驗建立過程。打開

keil軟件,點擊菜單欄上的Project,選擇NewuVisionProject。命名為pinlvji,并保存。選擇工程保存的路徑與位置。給工程命名,然后保存。接著彈出選擇芯片類型,一般是寫51單片機系列的程序的話,就選擇Atmel公司的芯片,點開后,選擇AT89C52.最后點擊OK。選擇菜單File,接著選擇New。新建一個文件。然后保存文件,保存在工程的路徑下,保存的格式為“.c”.點開Project

下的Target1左邊的加號。鼠標右擊SourceGroup1,選擇AddFieltoGroup'SourceGroup1'...按截圖的操作,找到.c的文件,添加到工程里面。最后close,就可以了。編寫代碼,編譯,生成.hex文件。下載程序設計1.程序設計總流程圖開始開始啟動定時器0打開外部中斷0打開中斷EA=1外部中斷觸發(fā)YN讀取TLC2543讀取18B20數(shù)據(jù)LCD12864顯示結(jié)束>3VBAD++;GOOD++;YN2.電路原理圖3.程序運行實物圖實物圖4.程序編寫#include"reg51.h"#include"intrins.h"#defineucharunsignedchar#defineuintunsignedintsbitLCD_Din=P1^0; sbitLCD_CLK=P1^1; sbitW=P1^2; sbitE=P1^3; sbitI=P1^4; sbitDQ=P1^7; sbitoutput=P1^5; sbitmc_out=P2^0; #defineCS_ON(W=0,E=0,I=0)#include"12864.h"#include"DS18B20.h" uintAD,num,num1,GOOD,BAD;uintread_2543(ucharCON_WORD) {uintad=0;uchari;CON_WORD<<=4;LCD_CLK=0;CS_ON;for(i=0;i<12;i++){if(output==1)ad=ad|0x01; if(CON_WORD&0x80) LCD_Din=1; else LCD_Din=0; LCD_CLK=1; _nop_();_nop_(); _nop_();_nop_(); LCD_CLK=0; _nop_();_nop_(); _nop_();_nop_(); CON_WORD<<=1; ad<<=1;}LCD_CS_OFF;ad>>=1;return(ad);}voidAD_DUQU(){uintadcaiji,qian,bai,shi,ge;adcaiji=AD/0.819;qian=adcaiji/1000;bai=adcaiji%1000/100;shi=adcaiji%100/10;ge=adcaiji%10;write_com(0x98+3);dis_num_9(qian);dis_num_9(10);dis_num_9(bai);dis_num_9(shi);dis_num_9(ge);dis_num_9(11);}voidmain(){uintm;lcd_init();TMOD=0X01;TH0=(65536-45872)/256;TL0=(65536-45872)%256;ET0=1;TCON=0x11;EA=1;xianshihanzi(1,2,"2015-10-27");xianshihanzi(2,1,"溫度:");xianshihanzi(2,7,"℃");xianshihanzi(3,1,"G:");xianshihanzi(3,5,"B:");xianshihanzi(4,1,"電壓:");while(1){m++;if(IE0==1){IE0=0;AD=AD/0.819;if(AD>3000)GOOD++;elseBAD++;}if(m>20) {AD_DUQU(); m=0; }ds1820disp();num_dis(3,3,GOOD);num_dis(3,7,BAD); AD=read_2543(0); delay(5);}}voidTime_0()interrupt1{TH0=(65536-45872)/256;TL0=(65536-45872)%256;num++;if(num==20){num=0; num1++; if(num1>4) { num1=0; mc_out=~mc_out; }}}#defineLCD_CS_ON(W=1,E=1,I=0)#defineLCD_CS_OFF(W=1,E=1,I=1)uchara[]={"0123456789"},dis_num_mul[5]={0};voiddelay(uintn){uinti,j;for(i=0;i<=n;i++)for(j=0;j<110;j++);}voiddelay_us(uintn){while(n--);}voidSend_12864_data(uchardat){uinti;for(i=0;i<8;i++){if((dat<<i)&0x80) LCD_Din=1; else { LCD_Din=0; } LCD_CLK=0; _nop_();_nop_(); LCD_CLK=1;}}voidwrite_com(ucharcmd){LCD_CS_ON;Send_12864_data(0xf8);Send_12864_data(cmd&0xf0);Send_12864_data((cmd<<4)&0xf0);delay(2);LCD_CS_OFF;}voidwrite_data(uchardat){LCD_CS_ON;Send_12864_data(0xfa);Send_12864_data(dat&0xf0);Send_12864_data((dat<<4)&0xf0);delay(2);LCD_CS_OFF;}voidlcd_init(){write_com(0x30);delay(10);write_com(0x0c);delay(10);write_com(0x01);delay(10);write_com(0x06);delay(10);}voidxianshihanzi(uintline,uintpos,uchar*s){if(line==0){while(*s>0){write_data(*s);s++;delay_us(5);}}if(line==1){write_com(0x80+pos-1);while(*s>0){write_data(*s);s++;delay_us(5);}}if(line==2){write_com(0x90+pos-1);while(*s>0){write_data(*s);s++;delay_us(5);}}if(line==3){write_com(0x88+pos-1);while(*s>0){write_data(*s);s++;delay_us(5);}}if(line==4){write_com(0x98+pos-1);while(*s>0){write_data(*s);s++;delay_us(5);}}}voiddis_num_9(uintnum){switch(num){case0:xianshihanzi(0,0,"0");break;case1:xianshihanzi(0,0,"1");break;case2:xianshihanzi(0,0,"2");break;case3:xianshihanzi(0,0,"3");break;case4:xianshihanzi(0,0,"4");break;case5:xianshihanzi(0,0,"5");break;case6:xianshihanzi(0,0,"6");break;case7:xianshihanzi(0,0,"7");break; case8:xianshihanzi(0,0,"8");break;case9:xianshihanzi(0,0,"9");break;case10:xianshihanzi(0,0,"."); break; case11:xianshihanzi(0,0,"V"); break;}}voidnum_dis(uintline,uintpos,uintnum){uinti;if(line==1)write_com(0x80+pos-1);if(line==2)write_com(0x90+pos-1);if(line==3)write_com(0x88+pos-1);if(line==4)write_com(0x98+pos-1);if(num<1000){if(num<100){if(num<10){dis_num_9(num);for(i=0;i<2;i++){xianshihanzi(0,0,"");}}else{dis_num_mul[0]=num/10;dis_num_mul[1]=num%10;for(i=0;i<2;i++)dis_num_9(dis_num_mul[i]);xianshihanzi(0,0,"");}}else{dis_num_mul[0]=num/100;dis_num_mul[1]=num%100/10;dis_num_mul[2]=num%10;for(i=0;i<3;i++)dis_num_9(dis_num_mul[i]);}}}uinttvalue;voiddelay_18B20(uinti){while(i--);}voidds1820rst(){ucharx=0;DQ=1;delay_18B20(4);DQ=0;delay_18B20(100);DQ=1;delay_18B20(40);}uchards1820rd(){uchari=0;uchardat=0;for(i=8;i>0;i--){DQ=0;dat>>=1;DQ=1;if(DQ)dat|=0x80;delay_18B20(10);}return(dat);}voidds1820wr(ucharwdata){uchari=0;for(i=8;i>0;i--){DQ=0;DQ=wdata&0x01;delay_18B20(10);DQ=1;wdata>>=1;}}read_temp(){uchara,b;ds1820rst();ds1820wr(0xcc);ds1820wr(0x44);ds1820rst();ds1820wr(0xcc)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論