第9章-數(shù)模和模數(shù)轉(zhuǎn)換器(第五版)_第1頁(yè)
第9章-數(shù)模和模數(shù)轉(zhuǎn)換器(第五版)_第2頁(yè)
第9章-數(shù)模和模數(shù)轉(zhuǎn)換器(第五版)_第3頁(yè)
第9章-數(shù)模和模數(shù)轉(zhuǎn)換器(第五版)_第4頁(yè)
第9章-數(shù)模和模數(shù)轉(zhuǎn)換器(第五版)_第5頁(yè)
已閱讀5頁(yè),還剩50頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

第9章數(shù)模和模數(shù)轉(zhuǎn)換學(xué)習(xí)要點(diǎn):掌握倒T形電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器(DAC)、集成D/A轉(zhuǎn)換器的工作原理及相關(guān)計(jì)算掌握并行比較、逐次比較、雙積分A/D轉(zhuǎn)換器(ADC)的工作原理及其特點(diǎn)正確理解D/A、A/D轉(zhuǎn)換器的主要參數(shù)第9章數(shù)模和模數(shù)轉(zhuǎn)換9.1D/A轉(zhuǎn)換器9.2A/D轉(zhuǎn)換器退出概述能將模擬量轉(zhuǎn)換為數(shù)字量的電路稱為模數(shù)轉(zhuǎn)換器,簡(jiǎn)稱A/D轉(zhuǎn)換器或ADC;能將數(shù)字量轉(zhuǎn)換為模擬量的電路稱為數(shù)模轉(zhuǎn)換器,簡(jiǎn)稱D/A轉(zhuǎn)換器或DAC。ADC和DAC是溝通模擬電路和數(shù)字電路的橋梁,也可稱之為兩者之間的接口。9.1D/A轉(zhuǎn)換器9.1.1D/A轉(zhuǎn)換器的基本原理9.1.3權(quán)電流型D/A轉(zhuǎn)換器9.1.4D/A轉(zhuǎn)換器的輸出方式9.1.6D/A轉(zhuǎn)換器的應(yīng)用9.1.2倒T型電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器9.1.5D/A轉(zhuǎn)換器的主要技術(shù)指標(biāo)將數(shù)字量轉(zhuǎn)換為與之成正比模擬量。n位數(shù)字量DAC模擬量A

=KD

O=–KNB

概述9.1.1D/A轉(zhuǎn)換器的基本原理數(shù)字量是用代碼按數(shù)位組合而成的,對(duì)于有權(quán)碼,每位代碼都有一定的權(quán)值,如能將每一位代碼按其權(quán)的大小轉(zhuǎn)換成相應(yīng)的模擬量,然后將這些模擬量相加,即可得到與數(shù)字量成正比的模擬量,從而實(shí)現(xiàn)數(shù)字量-模擬量的轉(zhuǎn)換。ND=b4×24+b3×23+b2×22+b1×21+b0×20

=1×24+1×23+0×22+0×21+1×20將二進(jìn)制數(shù)ND=(11001)B轉(zhuǎn)換為十進(jìn)制數(shù)。1、實(shí)現(xiàn)D/A轉(zhuǎn)換的基本思想2、D/A轉(zhuǎn)換器的組成數(shù)碼寄存器n位模擬開(kāi)關(guān)解碼網(wǎng)絡(luò)求和電路n位數(shù)字量輸入模擬量輸出基準(zhǔn)電壓DAC的數(shù)字?jǐn)?shù)據(jù)可以并行輸入也可串行輸入

用來(lái)存放數(shù)字量的各位數(shù)碼

由輸入數(shù)字量控制產(chǎn)生權(quán)電流

將權(quán)電流相加產(chǎn)生與輸入成正比的模擬電壓3、實(shí)現(xiàn)D/A轉(zhuǎn)換的原理電路按解碼網(wǎng)絡(luò)結(jié)構(gòu)分類T型電阻網(wǎng)絡(luò)DAC倒T形電阻網(wǎng)絡(luò)DAC權(quán)電流DAC權(quán)電阻網(wǎng)絡(luò)DAC按模擬電子開(kāi)關(guān)電路分類CMOS開(kāi)關(guān)型DAC雙極型開(kāi)關(guān)型DAC電流開(kāi)關(guān)型DACECL電流開(kāi)關(guān)型DACD/A轉(zhuǎn)

器4、D/A轉(zhuǎn)換器的分類9.1.2倒T型電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器1、4位倒T型電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器Rf?+vOA2RI/2S32RI/4S22RI/8S12RI/16S02RI/16I/8I/4I/2IiΣ+VREF(MSB)D3D2D1(LSB)D0RRR電阻網(wǎng)絡(luò)基準(zhǔn)電壓模擬電子開(kāi)關(guān)求和運(yùn)算放大器輸入4位二進(jìn)制數(shù)輸出模擬電壓Di=0,Si則將電阻2R接地Di=1,Si接運(yùn)算放大器反相端,電流Ii流入求和電路

①不論模擬開(kāi)關(guān)接到運(yùn)算放大器的反相輸入端(虛地)還是接到地,也就是不論輸入數(shù)字信號(hào)是1還是0,各支路的電流不變。②分別從每個(gè)節(jié)點(diǎn)處向左看的二端網(wǎng)絡(luò)等效電阻都是R。D/A轉(zhuǎn)換器的倒T型電阻網(wǎng)絡(luò)Rf?+vOA2RI/2S32RI/4S22RI/8S12RI/16S02RI/16I/8I/4I/2IiΣ+VREF(MSB)D3D2D1(LSB)D0RRRRRRRRf?+vOA2RI/2S32RI/4S22RI/8S12RI/16S02RI/16I/8I/4I/2IiΣ+VREF(MSB)D3D2D1(LSB)D0RRR關(guān)于D/A轉(zhuǎn)換器精度的討論(1)基準(zhǔn)電壓穩(wěn)定性好;(2)倒T形電阻網(wǎng)絡(luò)中R和2R電阻比值的精度要高;(4)為實(shí)現(xiàn)電流從高位到低位按2的整數(shù)倍遞減,模擬開(kāi)關(guān)的導(dǎo)通電阻也相應(yīng)地按2的整數(shù)倍遞增。為進(jìn)一步提高D/A轉(zhuǎn)換器的精度,可采用權(quán)電流型D/A轉(zhuǎn)換器。為提高D/A轉(zhuǎn)換器的精度,對(duì)電路參數(shù)的要求:(3)每個(gè)模擬開(kāi)關(guān)的開(kāi)關(guān)電壓降要相等;2、集成

D/A轉(zhuǎn)換器RF?+vOA2R2R2R2R2RVREFD9D2D1D0RRR2R2RRD8D7R10KΩ············IOUT1IOUT2AD7533使用:1)要外接運(yùn)放,

2)運(yùn)放的反饋電阻可使用內(nèi)部電阻,也可采用外接電阻。RR2RIOUT1IOUT2T1T2T3T5T4T7T6T8T9VDDDiCMOS模擬開(kāi)關(guān)電路1、4位權(quán)電流D/A轉(zhuǎn)換器權(quán)電流型D/A轉(zhuǎn)換器的原理電路9.1.3權(quán)電流型D/A轉(zhuǎn)換器Di=1時(shí),開(kāi)關(guān)Si接運(yùn)放的反相端;Di=0時(shí),開(kāi)關(guān)Si接地。權(quán)電流型D/A轉(zhuǎn)換器中的恒流源在恒流源電路中,各支路權(quán)電流的大小均不受開(kāi)關(guān)導(dǎo)通電阻和壓降的影響,這樣降低了對(duì)開(kāi)關(guān)電路的要求,提高了轉(zhuǎn)換精度。2、實(shí)際的權(quán)電流D/A轉(zhuǎn)換器++–I/2I/4I/8I/169.1.4D/A轉(zhuǎn)換器的輸出方式8位D/A轉(zhuǎn)換器在單極性輸出時(shí)的輸入/輸出關(guān)系0000000010000000……111111100000000110000001……11111111模擬量數(shù)字量MSBLSB十進(jìn)制數(shù)2的補(bǔ)碼偏移二進(jìn)制碼模擬量D7D6D5D4D3D2D1D0D7D6D5D4D3D2D1D0vO/VLSB12712610?1?127?128000011111001001100100110010011001001100100110010011101101111000110010011001001100100110010011001001100100111011012712610?1?127?128……………………常用雙極性編碼注:表中VLSB=VREF/256(1)分辨率其定義為D/A轉(zhuǎn)換器模擬輸出電壓可能被分離的等級(jí)數(shù)。n位DAC最多有2n個(gè)模擬輸出電壓。其分辨率即為2n。位數(shù)越多D/A轉(zhuǎn)換器的分辨率越高。(2)轉(zhuǎn)換精度

D/A轉(zhuǎn)換器的轉(zhuǎn)換精度是指輸出模擬電壓的實(shí)際值與理想值之差,即最大靜態(tài)轉(zhuǎn)換誤差。包括:比例系數(shù)誤差(由ΔVREF引起);失調(diào)誤差(由運(yùn)算放大器的零點(diǎn)漂移引起);非線性誤差(由模擬開(kāi)關(guān)和電阻網(wǎng)絡(luò)中的電阻誤差等引起)。(3)轉(zhuǎn)換速度當(dāng)D/A轉(zhuǎn)換器輸入的數(shù)字量發(fā)生變化時(shí),輸出電壓達(dá)到規(guī)定誤差范圍所需要的時(shí)間。通常用建立時(shí)間和轉(zhuǎn)換速率來(lái)描述。9.1.5D/A轉(zhuǎn)換器的主要技術(shù)指標(biāo)RF?+vOA2R2R2R2R2RVREFD9D2D1D0RRR2R2RRD8D7R10KΩ············IOUT1IOUT2AD7533vI數(shù)字式可編程增益控制電路9.1.6D/A轉(zhuǎn)換器的應(yīng)用RF?+vOA2R2R2R2R2RVREFD9D2D1D0RRR2R2RRD8D7R10KΩ············IOUT1IOUT2AD7533vI?+vOARIOUT1IOUT2vI倒T型電阻網(wǎng)絡(luò)根據(jù)虛斷有:脈沖波產(chǎn)生電路74163具同步清零功能74163和與非門(mén)構(gòu)成十進(jìn)制計(jì)數(shù)器:0000~1001D0D1D2D3D4D5D6D7D8D9AD7533ⅠD0D1D2D3D4D5D6D7D8D9AD7533ⅡQ0Q1Q2Q3Q4Q5Q6Q7Q8Q910位可逆計(jì)數(shù)器CP加/減加減控制電路VREF+?IOUT1IOUT2vO1RFVREF+?IOUT1IOUT2vO2RF計(jì)數(shù)脈沖脈沖波產(chǎn)生電路三角波拋物波本節(jié)小結(jié)

D/A轉(zhuǎn)換器的功能是將輸入的二進(jìn)制數(shù)字信號(hào)轉(zhuǎn)換成相對(duì)應(yīng)的模擬信號(hào)輸出。D/A轉(zhuǎn)換器根據(jù)工作原理基本上可分為二進(jìn)制權(quán)電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器和T型電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器兩大類。由于T型電阻網(wǎng)絡(luò)D/A轉(zhuǎn)換器只要求兩種阻值的電阻,因此最適合于集成工藝,集成D/A轉(zhuǎn)換器普遍采用這種電路結(jié)構(gòu)。如果輸入的是n位二進(jìn)制數(shù),則D/A轉(zhuǎn)換器的輸出電壓為:9.2A/D轉(zhuǎn)換器9.2.1A/D轉(zhuǎn)換的一般工作過(guò)程9.2.2并行比較型A/D轉(zhuǎn)換器9.2.3逐次比較型A/D轉(zhuǎn)換器9.2.4雙積分型A/D轉(zhuǎn)換器9.2.5A/D轉(zhuǎn)換器的主要技術(shù)指標(biāo)9.2.6集成A/D轉(zhuǎn)換器及其應(yīng)用9.2.1A/D轉(zhuǎn)換器的一般工作過(guò)程模擬電子開(kāi)關(guān)S在采樣脈沖CPS的控制下重復(fù)接通、斷開(kāi)的過(guò)程。S接通時(shí),ui(t)對(duì)C充電,為采樣過(guò)程;S斷開(kāi)時(shí),C上的電壓保持不變,為保持過(guò)程。在保持過(guò)程中,采樣的模擬電壓經(jīng)數(shù)字化編碼電路轉(zhuǎn)換成一組n位的二進(jìn)制數(shù)輸出。t0時(shí)刻S閉合,CH被迅速充電,電路處于采樣階段。由于兩個(gè)放大器的增益都為1,因此這一階段vO跟隨vI變化,即vO=vI。t1時(shí)刻采樣階段結(jié)束,S斷開(kāi),電路處于保持階段。若A2的輸入阻抗為無(wú)窮大,S為理想開(kāi)關(guān),則CH沒(méi)有放電回路,兩端保持充電時(shí)的最終電壓值不變,從而保證電路輸出端的電壓vO維持不變。1、取樣與保持2、量化與編碼將采樣-保持電路的輸出電壓歸化為最小量值的整數(shù)倍的過(guò)程叫做量化。數(shù)字量最小單位所對(duì)應(yīng)的最小量值叫做量化單位△。用二進(jìn)制代碼來(lái)表示各個(gè)量化電平的過(guò)程,叫做編碼。一個(gè)n位二進(jìn)制數(shù)只能表示2n個(gè)量化電平,量化過(guò)程中不可避免會(huì)產(chǎn)生誤差,這種誤差稱為量化誤差。量化級(jí)分得越多(n越大),量化誤差越小。取最小量化單位Δ=Vm/2n取最小量化單位Δ=2Vm/(2n+1?1)(a)舍尾取整法(b)四舍五入法9.2.2并行比較型A/D轉(zhuǎn)換器?+C1?+C2?+C3?+C4?+C5?+C6?+C7VREFRRRRRRRR/2C11DC11DC11DC11DC11DC11DC11DCO1CO2CO3CO4CO5CO6CO7QQQQQQQI1I2I3I4I5I6I7優(yōu)先編碼器D0(LSB)D1D2(MSB)vICPVREF/153VREF/155VREF/157VREF/159VREF/1511VREF/1513VREF/15①0≤vI<VREF/15時(shí),7個(gè)比較器輸出全為0,CP到來(lái)后,7個(gè)觸發(fā)器都置0。經(jīng)編碼器編碼后輸出的二進(jìn)制代碼為:D2D1D0=000②VREF/15≤vI<

3VREF/15時(shí),7個(gè)比較器中只有C7輸出為1,CP到來(lái)后,只有觸發(fā)器FF7置1,其余觸發(fā)器仍為0。經(jīng)編碼器編碼后輸出的二進(jìn)制代碼為:D2D1D0=001③3VREF/15≤vI<5VREF/15時(shí),比較器C7、C6輸出為1,CP到來(lái)后,觸發(fā)器FF7、FF6置1。經(jīng)編碼器編碼后輸出的二進(jìn)制代碼為:

D2D1D0=010④5VREF/15≤vI<7VREF/15時(shí),比較器C7、C6、C5輸出為1,CP到來(lái)后,觸發(fā)器FF7、FF6、FF5置1。經(jīng)編碼器編碼后輸出的二進(jìn)制代碼為:D2D1D0=011

依此類推,可以列出vI為不同等級(jí)時(shí)寄存器的狀態(tài)及相應(yīng)的輸出二進(jìn)制數(shù)。模擬輸入比較器狀態(tài)數(shù)字輸出vICO1CO2

CO3

CO4

CO5

CO6

CO7D2

D1

D000000000000000001001000001101000001110110001111100001111110101111111101111111111REFV)~0(151REFV)~(153151REFV)~(155153REFV)~(157155REFV)~(159157REFV)~(1511159REFV)~(15131511REFV)1~(1513在并行A/D轉(zhuǎn)換器中,輸入電壓

I同時(shí)加到所有比較器的輸入端。如不考慮各器件的延遲,可認(rèn)為三位數(shù)字量是與I輸入時(shí)刻同時(shí)獲得的。所以它的轉(zhuǎn)換時(shí)間最短。缺點(diǎn)是電路復(fù)雜,如三位ADC需7個(gè)比較器、7個(gè)觸發(fā)器、8個(gè)電阻。位數(shù)越多,電路越復(fù)雜。為了解決提高分辨率和增加元件數(shù)的矛盾,可以采取分級(jí)并行轉(zhuǎn)換的方法。單片集成并行比較型A/D轉(zhuǎn)換器的產(chǎn)品很多,如AD公司的AD9012(TTL工藝8位)、AD9002(ECL工藝,8位)、AD9020(TTL工藝,10位)等。電路特點(diǎn)9.2.3逐次比較型A/D轉(zhuǎn)換器1、轉(zhuǎn)換原理所加砝碼重量

結(jié)果

逐次逼近轉(zhuǎn)換過(guò)程與用天平稱物重非常相似。第一次8克砝碼總重<待測(cè)重量Wx

,8克砝碼保留8克第二次再加4克砝碼總重仍<待測(cè)重量Wx

,4克砝碼保留12克第三次再加2克砝碼總重>待測(cè)重量Wx

,2克砝碼撤除12克第四次再加1克砝碼總重=待測(cè)重量Wx

,1克砝碼保留13克所用砝碼重量:8克、4克、2克和1克。設(shè)待秤重量Wx

=13克?;鶞?zhǔn)電壓UREFn位A/D轉(zhuǎn)換器第一個(gè)CP10……0010……000.5UREFuI≥uO1uI<uO0第二個(gè)CP01……00Dn-11……000.75UREFor0.25UREF1or0uI≥uOuI<uO10轉(zhuǎn)換開(kāi)始前先將所有寄存器清零。電路由啟動(dòng)脈沖啟動(dòng)后,開(kāi)始轉(zhuǎn)換,時(shí)鐘脈沖首先將寄存器最高位置成1,使輸出數(shù)字為100…0。這個(gè)數(shù)碼被D/A轉(zhuǎn)換器轉(zhuǎn)換成相應(yīng)的模擬電壓uO,送到比較器中與uI進(jìn)行比較。若uI<uO,說(shuō)明數(shù)字過(guò)大了,則最高位應(yīng)保存0;若uI≥uo,說(shuō)明數(shù)字還不夠大,則最高位應(yīng)保存1。然后,再按同樣的方式將次高位置成1,并且經(jīng)過(guò)比較以后確定這個(gè)1是否應(yīng)該保留。這樣逐位比較下去,一直到最低位為止。比較完畢后,寄存器中的狀態(tài)就是所要求的數(shù)字量輸出。CPDn-1Dn-2

Dn-3…D1D0u0(V)uI≥uO?1100…000.5UREF1(Dn-1為1)/0(Dn-1為0)2Dn-110…000.75/0.25UREF1(Dn-2為1)/0(Dn-2為0)3Dn-1

Dn-21…00…1(Dn-3為1)/0(Dn-3為0)…………nDn-1Dn-2

Dn-3…D11…1(D0為1)/0(D0為0)例:8位A/D轉(zhuǎn)換器,輸入模擬量uI=6.84V,

D/A轉(zhuǎn)換器基準(zhǔn)電壓

UREF=10V。相對(duì)誤差僅為0.06%。轉(zhuǎn)換精度取決于位數(shù)。CPD7D6D5D4D3D2D1D0u0(V)uI≥uO?110000000512110000007.503101000006.2514101100006.87505101010006.562516101011006.7187517101011106.79687518101011116.83593751uI≥uO為1否則為0

8位逐次比較型A/D轉(zhuǎn)換器波形圖

1、逐次比較型A/D轉(zhuǎn)換器輸出數(shù)字量的位數(shù)越多轉(zhuǎn)換精度越高;2、逐次比較型A/D轉(zhuǎn)換器完成一次轉(zhuǎn)換所需時(shí)間與其位數(shù)n和時(shí)鐘脈沖頻率有關(guān),位數(shù)愈少,時(shí)鐘頻率越高,轉(zhuǎn)換所需時(shí)間越短。

電路特點(diǎn)基本原理:對(duì)輸入模擬電壓和基準(zhǔn)電壓進(jìn)行兩次積分,先對(duì)輸入模擬電壓進(jìn)行積分,將其變換成與輸入模擬電壓成正比的時(shí)間間隔T1,再利用計(jì)數(shù)器測(cè)出此時(shí)間間隔,則計(jì)數(shù)器所計(jì)的數(shù)字量就正比于輸入的模擬電壓;接著對(duì)基準(zhǔn)電壓進(jìn)行同樣的處理。原理電路9.2.4雙積分型A/D轉(zhuǎn)換器積分器檢零比較器時(shí)鐘脈沖控制門(mén)計(jì)數(shù)器①積分器:

Qn=0,對(duì)被測(cè)電壓uI進(jìn)行積分;

Qn=1,對(duì)基準(zhǔn)電壓–UREF進(jìn)行積分。②檢零比較器C:當(dāng)uO≥0時(shí),uC=0;當(dāng)uO<0時(shí),uC=1。④計(jì)數(shù)器:為n+1位異步二進(jìn)制計(jì)數(shù)器。

第一次計(jì)數(shù),是從0開(kāi)始直到2n對(duì)CP脈沖計(jì)數(shù),形成固定時(shí)間

T1=2nTC(TC為CP脈沖的周期),到達(dá)T1時(shí)間時(shí),Qn=1,使S1從A點(diǎn)轉(zhuǎn)接到B點(diǎn)。

第二次計(jì)數(shù),是將時(shí)間間隔T2變成脈沖個(gè)數(shù)N保存下來(lái)。③時(shí)鐘脈沖控制門(mén)G1:當(dāng)uC=1時(shí),門(mén)G1打開(kāi),CP脈沖通過(guò)門(mén)G1加到計(jì)數(shù)器輸入端。工作原理先定時(shí)(T1)對(duì)uI正向積分,得到Up,Up∝uI;再對(duì)-UREF積分,積分器的輸出將從Up線性上升到零。這段積分時(shí)間是T2,T2∝Up∝uI;在T2期間內(nèi)計(jì)數(shù)器對(duì)時(shí)鐘脈沖CP計(jì)得的個(gè)數(shù)為N,N∝T2∝Up∝uI

。工作過(guò)程:①準(zhǔn)備階段:轉(zhuǎn)換控制信號(hào)CR=0,將計(jì)數(shù)器清0,并通過(guò)G2接通開(kāi)關(guān)S2,使電容C放電;同時(shí),Qn=0使S1接通A點(diǎn)。②采樣階段:當(dāng)t=0時(shí),CR變?yōu)楦唠娖剑_(kāi)關(guān)S2斷開(kāi),積分器從0開(kāi)始對(duì)uI積分,積分器的輸出電壓從0V開(kāi)始下降,即與此同時(shí),由于uO<0,故uC=1,G1被打開(kāi),CP脈沖通過(guò)G1加到FF0上,計(jì)數(shù)器從0開(kāi)始計(jì)數(shù)。直到當(dāng)t=t1時(shí),F(xiàn)F0~FFn-1都翻轉(zhuǎn)為0態(tài),而Qn翻轉(zhuǎn)為1態(tài),將S1由A點(diǎn)轉(zhuǎn)接到B點(diǎn),采樣階段到此結(jié)束。若CP脈沖的周期為T(mén)C,則T1=2nTC。設(shè)UI為輸入電壓在T1時(shí)間間隔內(nèi)的平均值,則第一次積分結(jié)束時(shí)積分器的輸出電壓為:③比較階段:在t=t1時(shí)刻,S1接通B點(diǎn),–UREF加到積分器的輸

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論