2021年微電子學面試試題_第1頁
2021年微電子學面試試題_第2頁
2021年微電子學面試試題_第3頁
2021年微電子學面試試題_第4頁
2021年微電子學面試試題_第5頁
已閱讀5頁,還剩17頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

模仿電路1、基爾霍夫定理內容是什么?(仕蘭微電子)2、平板電容公式(C=εS/4πkd)。(未知)3、最基本如三極管曲線特性。(未知)4、描述反饋電路概念,列舉她們應用。(仕蘭微電子)5、負反饋種類(電壓并聯(lián)反饋,電流串聯(lián)反饋,電壓串聯(lián)反饋和電流并聯(lián)反饋);負反饋長處(減少放大器增益敏捷度,變化輸入電阻和輸出電阻,改進放大器線性和非線性失真,有效地擴展放大器通頻帶,自動調節(jié)作用)(未知)6、放大電路頻率補償目是什么,有哪些辦法?(仕蘭微電子)7、頻率響應,如:怎么才算是穩(wěn)定,如何變化頻響曲線幾種辦法。(未知)8、給出一種查分運放,如何相位補償,并畫補償后波特圖。(凹凸)9、基本放大電路種類(電壓放大器,電流放大器,互導放大器和互阻放大器),優(yōu)缺點,特別是廣泛采用差分構造因素。(未知)10、給出一差分電路,告訴其輸出電壓Y+和Y-,求共模分量和差模分量。(未知)11、畫差放兩個輸入管。(凹凸)12、畫出由運放構成加法、減法、微分、積分運算電路原理圖。并畫出一種晶體管級運放電路。(仕蘭微電子)13、用運算放大器構成一種10倍放大器。(未知)14、給出一種簡樸電路,讓你分析輸出電壓特性(就是個積分電路),并求輸出端某點rise/fall時間。(Infineon筆試試題)15、電阻R和電容C串聯(lián),輸入電壓為R和C之間電壓,輸出電壓分別為C上電壓和R上電壓,規(guī)定制這兩種電路輸入電壓頻譜,判斷這兩種電路何為高通濾波器,何為低通濾波器。當RC<<T時,給出輸入電壓波形圖,繪制兩種電路輸出波形圖。(未知)16、有源濾波器和無源濾波器原理及區(qū)別?(新太硬件)17、有一時域信號S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),當其通過低通、帶通、高通濾波器后信號表達方式。(未知)18、選取電阻時要考慮什么?(東信筆試題)19、在CMOS電路中,要有一種單管作為開關管精準傳遞模仿低電平,這個單管你會用P管還是N管,為什么?(仕蘭微電子)20、給出各種mos管構成電路求5個點電壓。(Infineon筆試試題)21、電壓源、電流源是集成電路中經(jīng)慣用到模塊,請畫出你懂得線路構造,簡樸描述其優(yōu)缺陷。(仕蘭微電子)22、畫電流偏置產生電路,并解釋。(凹凸)23、史密斯特電路,求回差電壓。(華為面試題)24、晶體振蕩器,好像是給出振蕩頻率讓你求周期(應當是單片機,12分之一周期....)(華為面試題)25、LC正弦波振蕩器有哪幾種三點式振蕩電路,分別畫出其原理圖。(仕蘭微電子)26、VCO是什么,什么參數(shù)(壓控振蕩器?)(華為面試題)27、鎖相環(huán)有哪幾某些構成?(仕蘭微電子)28、鎖相環(huán)電路構成,振蕩器(例如用D觸發(fā)器如何搭)。(未知)29、求鎖相環(huán)輸出頻率,給了一種鎖相環(huán)構造圖。(未知)30、如果公司做高頻電子,也許還要RF知識,調頻,鑒頻鑒相之類,不一一列舉。(未知)31、一電源和一段傳播線相連(長度為L,傳播時間為T),畫出終端處波形,考慮傳播線無損耗。給出電源電壓波形圖,規(guī)定繪制終端波形圖。(未知)32、微波電路匹配電阻。(未知)33、DAC和ADC實現(xiàn)各有哪些辦法?(仕蘭微電子)34、A/D電路構成、工作原理。(未知)35、實際工作所需要某些技術知識(面試容易問到)。如電路低功耗,穩(wěn)定,高速如何做到,調運放,布版圖注意地方等等,普通會針對簡歷上你所寫做過東西詳細問,必定會問得很細(因此別把什么都寫上,精通之類詞也別用太多了),這個東西各個人就不同樣了,不好說什么了。(未知)_______________________________________________________________________數(shù)字電路1、同步電路和異步電路區(qū)別是什么?(仕蘭微電子)2、什么是同步邏輯和異步邏輯?(漢王筆試)同步邏輯是時鐘之間有固定因果關系。異步邏輯是各時鐘之間沒有固定因果關系。3、什么是"線與"邏輯,要實現(xiàn)它,在硬件特性上有什么詳細規(guī)定?(漢王筆試)線與邏輯是兩個輸出信號相連可以實現(xiàn)與功能。在硬件上,要用oc門來實現(xiàn),由于不用oc門也許使灌電流過大,而燒壞邏輯門。同步在輸出端口應加一種上拉電阻。4、什么是Setup和Holdup時間?(漢王筆試)5、setup和holdup時間,區(qū)別.(南山之橋)6、解釋setuptime和holdtime定義和在時鐘信號延遲時變化。(未知)7、解釋setup和holdtimeviolation,畫圖闡明,并闡明解決辦法。(威盛VIA.11.06上海筆試試題)Setup/holdtime是測試芯片對輸入信號和時鐘信號之間時間規(guī)定。建立時間是指觸發(fā)器時鐘信號上升沿到來此前,數(shù)據(jù)穩(wěn)定不變時間。輸入信號應提前時鐘上升沿(如上升沿有效)T時間到達芯片,這個T就是建立時間-Setuptime.如不滿足setuptime,這個數(shù)據(jù)就不能被這一時鐘打入觸發(fā)器,只有在下一種時鐘上升沿,數(shù)據(jù)才干被打入觸發(fā)器。保持時間是指觸發(fā)器時鐘信號上升沿到來后來,數(shù)據(jù)穩(wěn)定不變時間。如果holdtime不夠,數(shù)據(jù)同樣不能被打入觸發(fā)器。建立時間(SetupTime)和保持時間(Holdtime)。建立時間是指在時鐘邊沿前,數(shù)據(jù)信號需要保持不變時間。保持時間是指時鐘跳變邊沿后數(shù)據(jù)信號需要保持不變時間。如果不滿足建立和保持時間話,那么DFF將不能對的地采樣到數(shù)據(jù),將會浮現(xiàn)metastability狀況。如果數(shù)據(jù)信號在時鐘沿觸發(fā)先后持續(xù)時間均超過建立和保持時間,那么超過量就分別被稱為建立時間裕量和保持時間裕量。8、說說對數(shù)字邏輯中競爭和冒險理解,并舉例闡明競爭和冒險如何消除。(仕蘭微電子)9、什么是競爭與冒險現(xiàn)象?如何判斷?如何消除?(漢王筆試)在組合邏輯中,由于門輸入信號通路中通過了不同延時,導致到達該門時間不一致叫競爭。產生毛刺叫冒險。如果布爾式中有相反信號則也許產生競爭和冒險現(xiàn)象。解決辦法:一是添加布爾式消去項,二是在芯片外部加電容。10、你懂得那些慣用邏輯電平?TTL與COMS電平可以直接互連嗎?(漢王筆試)慣用邏輯電平:12V,5V,3.3V;TTL和CMOS不可以直接互連,由于TTL是在0.3-3.6V之間,而CMOS則是有在12V有在5V。CMOS輸出接到TTL是可以直接互連。TTL接到CMOS需要在輸出端口加一上拉電阻接到5V或者12V。11、如何解決亞穩(wěn)態(tài)。(飛利浦-大唐筆試)亞穩(wěn)態(tài)是指觸發(fā)器無法在某個規(guī)定期間段內達到一種可確認狀態(tài)。當一種觸發(fā)器進入亞穩(wěn)態(tài)時,既無法預測該單元輸出電平,也無法預測何時輸出才干穩(wěn)定在某個對的電平上。在這個穩(wěn)定期間,觸發(fā)器輸出某些中間級電平,或者也許處在振蕩狀態(tài),并且這種無用輸出電平可以沿信號通道上各個觸發(fā)器級聯(lián)式傳播下去。12、IC設計中同步復位與異步復位區(qū)別。(南山之橋)13、MOORE與MEELEY狀態(tài)機特性。(南山之橋)14、多時域設計中,如何解決信號跨時域。(南山之橋)15、給了regsetup,hold時間,求中間組合邏輯delay范疇。(飛利浦-大唐筆試)Delay<period-setup–hold16、時鐘周期為T,觸發(fā)器D1建立時間最大為T1max,最小為T1min。組合邏輯電路最大延遲為T2max,最小為T2min。問,觸發(fā)器D2建立時間T3和保持時間應滿足什么條件。(華為)17、給出某個普通時序電路圖,有Tsetup,Tdelay,Tck->q,尚有clockdelay,寫出決定最大時鐘因素,同步給出表達式。(威盛VIA.11.06上海筆試試題)18、說說靜態(tài)、動態(tài)時序模仿優(yōu)缺陷。(威盛VIA.11.06上海筆試試題)19、一種四級Mux,其中第二級信號為核心信號如何改進timing。(威盛VIA.11.06上海筆試試題)20、給出一種門級圖,又給了各個門傳播延時,問核心途徑是什么,還問給出輸入,使得輸出依賴于核心途徑。(未知)21、邏輯方面數(shù)字電路卡諾圖化簡,時序(同步異步差別),觸發(fā)器有幾種(區(qū)別,優(yōu)點),全加器等等。(未知)22、卡諾圖寫出邏輯表達使。(威盛VIA.11.06上海筆試試題)23、化簡F(A,B,C,D)=m(1,3,4,5,10,11,12,13,14,15)和。(威盛)24、pleaseshowtheCMOSinverterschmatic,layoutanditscrosssectionwithP-wellprocess.Plotitstransfercurve(Vout-Vin)AndalsoexplaintheoperationregionofPMOSandNMOSforeachsegmentofthetransfercurve?(威盛筆試題circuitdesign-beijing-03.11.09)25、TodesignaCMOSinvertorwithbalanceriseandfalltime,pleasedefinetherationofchannelwidthofPMOSandNMOSandexplain?26、為什么一種原則倒相器中P管寬長比要比N管寬長比大?(仕蘭微電子)27、用mos管搭出一種二輸入與非門。(揚智電子筆試)28、pleasedrawthetransistorlevelschematicofacmos2inputANDgateandexplainwhichinputhasfasterresponseforoutputrisingedge.(lessdelaytime)。(威盛筆試題circuitdesign-beijing-03.11.09)29、畫出NOT,NAND,NOR符號,真值表,尚有transistorlevel電路。(Infineon筆試)30、畫出CMOS圖,畫出tow-to-onemuxgate。(威盛VIA.11.06上海筆試試題)31、用一種二選一mux和一種inv實現(xiàn)異或。(飛利浦-大唐筆試)32、畫出Y=A*B+Ccmos電路圖。(科廣試題)33、用邏輯們和cmos電路實現(xiàn)ab+cd。(飛利浦-大唐筆試)34、畫出CMOS電路晶體管級電路圖,實現(xiàn)Y=A*B+C(D+E)。(仕蘭微電子)35、運用4選1實現(xiàn)F(x,y,z)=xz+yz’。(未知)36、給一種表達式f=xxxx+xxxx+xxxxx+xxxx用至少數(shù)量與非門實現(xiàn)(事實上就是化簡)。37、給出一種簡樸由各種NOT,NAND,NOR構成原理圖,依照輸入波形畫出各點波形。(Infineon筆試)38、為了實現(xiàn)邏輯(AXORB)OR(CANDD),請選用如下邏輯中一種,并闡明為什么?1)INV2)AND3)OR4)NAND5)NOR6)XOR答案:NAND(未知)39、用與非門等設計全加法器。(華為)40、給出兩個門電路讓你分析異同。(華為)41、用簡樸電路實現(xiàn),當A為輸入時,輸出B波形為…(仕蘭微電子)42、A,B,C,D,E進行投票,多數(shù)服從少數(shù),輸出是F(也就是如果A,B,C,D,E中1個數(shù)比0多,那么F輸出為1,否則F為0),用與非門實現(xiàn),輸入數(shù)目沒有限制。(未知)43、用波形表達D觸發(fā)器功能。(揚智電子筆試)44、用傳播門和倒向器搭一種邊沿觸發(fā)器。(揚智電子筆試)45、用邏輯們畫出D觸發(fā)器。(威盛VIA.11.06上海筆試試題)46、畫出DFF構造圖,用verilog實現(xiàn)之。(威盛)47、畫出一種CMOSD鎖存器電路圖和版圖。(未知)48、D觸發(fā)器和D鎖存器區(qū)別。(新太硬件面試)49、簡述latch和filp-flop異同。(未知)50、LATCH和DFF概念和區(qū)別。(未知)51、latch與register區(qū)別,為什么當前多用register.行為級描述中l(wèi)atch如何產生。(南山之橋)52、用D觸發(fā)器做個二分顰電路.又問什么是狀態(tài)圖。(華為)53、請畫出用D觸發(fā)器實現(xiàn)2倍分頻邏輯電路?(漢王筆試)54、如何用D觸發(fā)器、與或非門構成二分頻電路?(東信筆試)55、Howmanyflip-flopcircuitsareneededtodivideby16?(Intel)16分頻?56、用filp-flop和logic-gate設計一種1位加法器,輸入carryin和current-stage,輸出carryout和next-stage.(未知)57、用D觸發(fā)器做個4進制計數(shù)。(華為)58、實現(xiàn)N位JohnsonCounter,N=5。(南山之橋)59、用你熟悉設計方式設計一種可預置初值7進制循環(huán)計數(shù)器,15進制呢?(仕蘭微電子)60、數(shù)字電路設計固然必問Verilog/VHDL,如設計計數(shù)器。(未知)61、BLOCKINGNONBLOCKING賦值區(qū)別。(南山之橋)62、寫異步D觸發(fā)器verilogmodule。(揚智電子筆試)moduledff8(clk,reset,d,q);inputclk;inputreset;input[7:0]d;output[7:0]q;reg[7:0]q;always@(posedgeclkorposedgereset)if(reset)q<=0;elseq<=d;endmodule63、用D觸發(fā)器實現(xiàn)2倍分頻Verilog描述?(漢王筆試)moduledivide2(clk,clk_o,reset);inputclk,reset;outputclk_o;wirein;regout;always@(posedgeclkorposedgereset)if(reset)out<=0;elseout<=in;assignin=~out;assignclk_o=out;endmodule64、可編程邏輯器件在當代電子設計中越來越重要,請問:a)你所懂得可編程邏輯器件有哪些?b)試用VHDL或VERILOG、ABLE描述8位D觸發(fā)器邏輯。(漢王筆試)PAL,PLD,CPLD,F(xiàn)PGA。moduledff8(clk,reset,d,q);inputclk;inputreset;inputd;outputq;regq;always@(posedgeclkorposedgereset)if(reset)q<=0;elseq<=d;endmodule65、請用HDL描述四位全加法器、5分頻電路。(仕蘭微電子)66、用VERILOG或VHDL寫一段代碼,實現(xiàn)10進制計數(shù)器。(未知)67、用VERILOG或VHDL寫一段代碼,實現(xiàn)消除一種glitch。(未知)68、一種狀態(tài)機題目用verilog實現(xiàn)(但是這個狀態(tài)機畫實在比較差,很容易誤解)。(威盛VIA.11.06上海筆試試題)69、描述一種交通信號燈設計。(仕蘭微電子)70、畫狀態(tài)機,接受1,2,5分錢賣報機,每份報紙5分錢。(揚智電子筆試)71、設計一種自動售貨機系統(tǒng),賣soda水,只能投進三種硬幣,要對的找回錢數(shù)。(1)畫出fsm(有限狀態(tài)機);(2)用verilog編程,語法要符合fpga設計規(guī)定。(未知)72、設計一種自動飲料售賣機,飲料10分錢,硬幣有5分和10分兩種,并考慮找零:(1)畫出fsm(有限狀態(tài)機);(2)用verilog編程,語法要符合fpga設計規(guī)定;(3)設計工程中可使用工具及設計大體過程。(未知)73、畫出可以檢測10010串狀態(tài)圖,并verilog實現(xiàn)之。(威盛)74、用FSM實現(xiàn)101101序列檢測模塊。(南山之橋)a為輸入端,b為輸出端,如果a持續(xù)輸入為1101則b輸出為1,否則為0。例如a:b:請畫出statemachine;請用RTL描述其statemachine。(未知)75、用verilog/vddl檢測stream中特定字符串(分狀態(tài)用狀態(tài)機寫)。(飛利浦-大唐筆試)76、用verilog/vhdl寫一種fifo控制器(涉及空,滿,半滿信號)。(飛利浦-大唐筆試)77、既有一顧客需要一種集成電路產品,規(guī)定該產品可以實現(xiàn)如下功能:y=lnx,其中,x為4位二進制整數(shù)輸入信號。y為二進制小數(shù)輸出,規(guī)定保存兩位小數(shù)。電源電壓為3~5v假設公司接到該項目后,交由你來負責該產品設計,試討論該產品設計全程。(仕蘭微電子)78、sram,falshmemory,及dram區(qū)別?(新太硬件面試)79、給出單管DRAM原理圖(西電版《數(shù)字電子技術基本》作者楊頌華、馮毛官205頁圖9-14b),問你有什么辦法提高refreshtime,總共有5個問題,記不起來了。(減少溫度,增大電容存儲容量)(Infineon筆試)80、PleasedrawschematicofacommonSRAMcellwith6transistors,pointoutwhichnodescanstoredataandwhichnodeiswordlinecontrol?(威盛筆試題circuitdesign-beijing-03.11.09)81、名詞:sram,ssram,sdram名詞IRQ,BIOS,USB,VHDL,SDRIRQ:InterruptReQuestBIOS:BasicInputOutputSystemUSB:UniversalSerialBusVHDL:VHICHardwareDescriptionLanguageSDR:SingleDataRate壓控振蕩器英文縮寫(VCO)。動態(tài)隨機存儲器英文縮寫(DRAM)。名詞解釋,無聊外文縮寫罷了,例如PCI、ECC、DDR、interrupt、pipeline、IRQ,BIOS,USB,VHDL,VLSIVCO(壓控振蕩器)RAM(動態(tài)隨機存儲器),F(xiàn)IRIIRDFT(離散傅立葉變換)或者是中文,例如:a.量化誤差b.直方圖c.白平衡____________________________________________________________________________IC設計基本(流程、工藝、版圖、器件)1、咱們公司產品是集成電路,請描述一下你對集成電路結識,列舉某些與集成電路有關內容(如講清晰模仿、數(shù)字、雙極型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA等概念)。(仕蘭微面試題目)2、FPGA和ASIC概念,她們區(qū)別。(未知)答案:FPGA是可編程ASIC。ASIC:專用集成電路,它是面向專門用途電路,專門為一種顧客設計和制造。依照一個顧客特定規(guī)定,能以低研制成本,短、交貨周期供貨全定制,半定制集成電路。與門陣列等其他ASIC(ApplicationSpecificIC)相比,它們又具備設計開發(fā)周期短、設計制導致本低、開發(fā)工具先進、原則產品無需測試、質量穩(wěn)定以及可實時在線檢查等長處3、什么叫做OTP片、掩膜片,兩者區(qū)別何在?(仕蘭微面試題目)4、你懂得集成電路設計表達方式有哪幾種?(仕蘭微面試題目)5、描述你對集成電路設計流程結識。(仕蘭微面試題目)6、簡述FPGA等可編程邏輯器件設計流程。(仕蘭微面試題目)7、IC設計前端到后端流程和eda工具。(未知)8、從RTLsynthesis到tapeout之間設計flow,并列出其中各步使用tool.(未知)9、Asicdesignflow。(威盛VIA.11.06上海筆試試題)10、寫出asic前期設計流程和相應工具。(威盛)11、集成電路前段設計流程,寫出有關工具。(揚智電子筆試)先簡介下IC開發(fā)流程:1.)代碼輸入(designinput)用vhdl或者是verilog語言來完畢器件功能描述,生成hdl代碼語言輸入工具:SUMMITVISUALHDLMENTORRENIOR圖形輸入:composer(cadence);viewlogic(viewdraw)2.)電路仿真(circuitsimulation)將vhd代碼進行先前邏輯仿真,驗證功能描述與否對的數(shù)字電路仿真工具:Verolog:CADENCEVerolig-XLSYNOPSYSVCSMENTORModle-simVHDL:CADENCENC-vhdlSYNOPSYSVSSMENTORModle-sim模仿電路仿真工具:***ANTIHSpicepspice,spectremicromicrowave:eesoft:hp3.)邏輯綜合(synthesistools)邏輯綜合工具可以將設計思想vhd代碼轉化成相應一定工藝手段門級電路;將初級仿真中所沒有考慮門沿(gatesdelay)反標到生成門級網(wǎng)表中,返回電路仿真階段進行再仿真。最后仿真成果生成網(wǎng)表稱為物理網(wǎng)表。12、請簡述一下設計后端整個流程?(仕蘭微面試題目)13、與否接觸過自動布局布線?請說出一兩種工具軟件。自動布局布線需要哪些基本元素?(仕蘭微面試題目)14、描述你對集成電路工藝結識。(仕蘭微面試題目)15、列舉幾種集成電路典型工藝。工藝上常提到0.25,0.18指是什么?(仕蘭微面試題目)16、請描述一下國內工藝現(xiàn)狀。(仕蘭微面試題目)17、半導體工藝中,摻雜有哪幾種方式?(仕蘭微面試題目)18、描述CMOS電路中閂鎖效應產生過程及最后成果?(仕蘭微面試題目)19、解釋latch-up現(xiàn)象和Antennaeffect和其防止辦法.(未知)20、什么叫Latchup?(科廣試題)21、什么叫窄溝效應?(科廣試題)22、什么是NMOS、PMOS、CMOS?什么是增強型、耗盡型?什么是PNP、NPN?她們有什么差別?(仕蘭微面試題目)23、硅柵COMS工藝中N阱中做是P管還是N管,N阱阱電位連接有什么規(guī)定?(仕蘭微面試題目)24、畫出CMOS晶體管CROSS-OVER圖(應當是縱剖面圖),給出所有也許傳播特性和轉移特性。(Infineon筆試試題)25、以interver為例,寫出N阱CMOSprocess流程,并畫出剖面圖。(科廣試題)26、Pleaseexplainhowwedescribetheresistanceinsemiconductor.Comparetheresistanceofametal,polyanddiffusionintranditionalCMOSprocess.(威盛筆試題circuitdesign-beijing-03.11.09)27、闡明mos一半工作在什么區(qū)。(凹凸題目和面試)28、畫p-bulknmos截面圖。(凹凸題目和面試)29、寫schematicnote(?),越多越好。(凹凸題目和面試)30、寄生效應在ic設計中如何加以克服和運用。(未知)31、太底層MOS管物理特性感覺普通不大會作為筆試面試題,由于全是微電子物理,公式推導太羅索,除非面試出題是個老學究。IC設計話需要熟悉軟件:Cadence,Synopsys,Avant,UNIX固然也要大概會操作。32、unix命令cp-r,rm,uname。(揚智電子筆試)___________________________________________________________________________單片機、MCU、計算機原理1、簡樸描述一種單片機系統(tǒng)重要構成模塊,并闡明各模塊之間數(shù)據(jù)流流向和控制流流向。簡述單片機應用系統(tǒng)設計原則。(仕蘭微面試題目)2、畫出8031與2716(2K*8ROM)連線圖,規(guī)定采用三-八譯碼器,8031P2.5,P2.4和P2.3參加譯碼,基本地址范疇為3000H-3FFFH。該2716有無重疊地址?依照是什么?若有,則寫出每片2716重疊地址范疇。(仕蘭微面試題目)3、用8051設計一種帶一種8*16鍵盤加驅動八個數(shù)碼管(共陽)原理圖。(仕蘭微面試題目)4、PCI總線含義是什么?PCI總線重要特點是什么?(仕蘭微面試題目)5、中斷概念?簡述中斷過程。(仕蘭微面試題目)6、如單片機中斷幾種/類型,編中斷程序注意什么問題;(未知)7、要用一種開環(huán)脈沖調速系統(tǒng)來控制直流電動機轉速,程序由8051完畢。簡樸原理如下:由P3.4輸出脈沖占空比來控制轉速,占空比越大,轉速越快;而占空比由K7-K0八個開關來設立,直接與P1口相連(開關撥到下方時為"0",撥到上方時為"1",構成一種八位二進制數(shù)N),規(guī)定占空比為N/256。(仕蘭微面試題目)下面程序用計數(shù)法來實現(xiàn)這一功能,請將空余某些添完整。MOVP1,#0FFHLOOP1:MOVR4,#0FFH--------MOVR3,#00HLOOP2:MOVA,P1--------SUBBA,R3JNZSKP1--------SKP1:MOVC,70HMOVP3.4,CACALLDELAY:此延時子程序略----------------AJMPLOOP18、單片機上電后沒有運轉,一方面要檢查什么?(東信筆試題)9、WhatisPCChipset?(揚智電子筆試)芯片組(Chipset)是主板核心構成某些,按照在主板上排列位置不同,普通分為北橋芯片和南橋芯片。北橋芯片提供對CPU類型和主頻、內存類型和最大容量、ISA/PCI/AGP插槽、ECC糾錯等支持。南橋芯片則提供對KBC(鍵盤控制器)、RTC(實時時鐘控制器)、USB(通用串行總線)、UltraDMA/33(66)EIDE數(shù)據(jù)傳播方式和ACPI(高檔能源管理)等支持。其中北橋芯片起著主導性作用,也稱為主橋(HostBridge)。除了最通用南北橋構造外,當前芯片組正向更高檔加速集線架構發(fā)展,Intel8xx系列芯片組就是此類芯片組代表,它將某些子系統(tǒng)如IDE接口、音效、MODEM和USB直接接入主芯片,可以提供比PCI總線寬一倍帶寬,達到了266MB/s。10、如果簡歷上還說做過cpu之類,就會問到諸如cpu如何工作,流水線之類問題。(未知)11、計算機基本構成某些及其各自作用。(東信筆試題)12、請畫出微機接口電路中,典型輸入設備與微機接口邏輯示意圖(數(shù)據(jù)接口、控制接口、所存器/緩沖器)。(漢王筆試)13、cache重要某些什么。(威盛VIA.11.06上海筆試試題)14、同步異步傳播差別(未知)15、串行通信與同步通信異同,特點,比較。(華為面試題)16、RS232c高電平脈沖相應TTL邏輯是?(負邏輯?)(華為面試題)___________________________________________________________________________信號與系統(tǒng)1、話音頻率普通為300~3400HZ,若對其采樣且使信號不失真,其最小采樣頻率應為多大?若采用8KHZ采樣頻率,并采用8bitPCM編碼,則存儲一秒鐘信號數(shù)據(jù)量有多大?(仕蘭微面試題目)2、什么耐奎斯特定律,怎么由模仿信號轉為數(shù)字信號。(華為面試題)3、如果模仿信號帶寬為5khz,要用8K采樣率,怎么辦?(lucent)兩路?4、信號與系統(tǒng):在時域與頻域關系。(華為面試題)5、給出時域信號,求其直流分量。(未知)6、給出一時域信號,規(guī)定(1)寫出頻率分量,(2)寫出其傅立葉變換級數(shù);(3)當波形通過低通濾波器濾掉高次諧波而只保存一次諧波時,畫出濾波后輸出波形。(未知)7、sketch持續(xù)正弦信號和持續(xù)矩形波(均有圖)傅立葉變換。(Infineon筆試試題)8、拉氏變換和傅立葉變換表達式及聯(lián)系。(新太硬件面題)_________________________________________________________________________DSP、嵌入式、軟件等1、請用方框圖描述一種你熟悉實用數(shù)字信號解決系統(tǒng),并做簡要分析;如果沒有,也可以自己設計一種簡樸數(shù)字信號解決系統(tǒng),并描述其功能及用途。(仕蘭微面試題目)2、數(shù)字濾波器分類和構造特點。(仕蘭微面試題目)3、IIR,F(xiàn)IR濾波器異同。(新太硬件面題)4、拉氏變換與Z變換公式等類似東西,隨便翻翻書把如.h(n)=-a*h(n-1)+b*δ(n)a.求h(n)z變換;b.問該系統(tǒng)與否為穩(wěn)定系統(tǒng);c.寫出FIR數(shù)字濾波器差分方程;(未知)5、DSP和通用解決器在構造上有什么不同,請簡要畫出你熟悉一種DSP構造圖。(信威dsp軟件面試題)6、說說定點DSP和浮點DSP定義(或者說出她們區(qū)別)(信威dsp軟件面試題)7、說說你對循環(huán)尋址和位反序尋址理解.(信威dsp軟件面試題)8、請寫出【-8,7】二進制補碼,和二進制偏置碼。用Q15表達出0.5和-0.5.(信威dsp軟件面試題)9、DSP構造(哈佛構造);(未知)10、嵌入式解決器類型(如ARM),操作系統(tǒng)種類(Vxworks,ucos,winCE,linux),操作系統(tǒng)方面偏CS方向了,在CS篇里面講了;(未知)11、有一種LDO芯片將用于對手機供電,需要你對她進行評估,你將如何設計你測試項目?12、某程序在一種嵌入式系統(tǒng)(200MCPU,50MSDRAM)中已經(jīng)最優(yōu)化了,換到零一種系統(tǒng)(300MCPU,50MSDRAM)中與否還需要優(yōu)化?(Intel)13、請簡要描述HUFFMAN編碼基本原理及其基本實現(xiàn)辦法。(仕蘭微面試題目)14、說出OSI七層網(wǎng)絡合同中四層(任意四層)。(仕蘭微面試題目)15、A)(仕蘭微面試題目)#includevoidtestf(int*p){*p+=1;}main(){int*n,m[2];n=m;m[0]=1;m[1]=8;testf(n);printf("Datavalueis%d",*n);}------------------------------B)#includevoidtestf(int**p){*p+=1;}main(){int*n,m[2];n=m;m[0]=1;m[1]=8;testf(&n);printf(Datavalueis%d",*n);}下面成果是程序A還是程序B?Datavalueis8那么另一段程序成果是什么?16、那種排序辦法最快?(華為面試題)17、寫出兩個排序算法,問哪個好?(威盛)18、編一種簡樸求n!程序。(Infineon筆試試題)19、用一種編程語言寫n!算法。(威盛VIA.11.06上海筆試試題)20、用C語言寫一種遞歸算法求N?。唬ㄈA為面試題)21、給一種C函數(shù),關于字符串和數(shù)組,找出錯誤;(華為面試

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論