交通燈數(shù)電課程設(shè)計(jì)報(bào)告_第1頁
交通燈數(shù)電課程設(shè)計(jì)報(bào)告_第2頁
交通燈數(shù)電課程設(shè)計(jì)報(bào)告_第3頁
交通燈數(shù)電課程設(shè)計(jì)報(bào)告_第4頁
交通燈數(shù)電課程設(shè)計(jì)報(bào)告_第5頁
已閱讀5頁,還剩27頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

《電子技術(shù)》課程設(shè)計(jì)報(bào)告題目簡(jiǎn)易交通信號(hào)燈控制器學(xué)院(部)專業(yè)班級(jí)學(xué)生姓名學(xué)號(hào)6月18日至6月22日共1周指導(dǎo)教師(簽字)摘要交通運(yùn)輸是國(guó)家經(jīng)濟(jì)發(fā)展的動(dòng)力,日常出行也是我們生活中不可或缺的一部分。在確保十字路口的交通秩序工作中,信號(hào)燈扮演了極其重要的角色。交通信號(hào)控制系統(tǒng)是用來自動(dòng)控制十字路口紅黃綠三色的電子系統(tǒng)。交通燈簡(jiǎn)易交通信號(hào)燈控制器利用555秒脈沖發(fā)生器提供秒脈沖CP信號(hào),為計(jì)數(shù)器提供工作頻率。計(jì)數(shù)器由兩塊74LS190級(jí)聯(lián)構(gòu)成八位二進(jìn)制,實(shí)現(xiàn)倒計(jì)數(shù);顯示電路由兩個(gè)十六進(jìn)制數(shù)碼管構(gòu)成,可以顯示00-99之間的數(shù)字;控制電路由74LS161構(gòu)成的循環(huán)電路控制三種燈的開關(guān);置數(shù)電路由相應(yīng)數(shù)量的74LS465與74LS138譯碼器構(gòu)成置位電路使得主干道在45-0秒為綠燈,5-0秒時(shí)為黃燈,30-0秒時(shí)為紅燈,相應(yīng)的次干道與主干道相配合,在50-0秒時(shí)為紅燈,在25-0秒時(shí)為綠燈,在5-0秒時(shí)為黃燈,通過共用CP脈沖,實(shí)現(xiàn)對(duì)主干道與支干道交通信號(hào)燈的聯(lián)合控制。關(guān)鍵字 根據(jù)設(shè)計(jì)原理,系統(tǒng)的關(guān)鍵字有以下幾個(gè):交通信號(hào)燈秒脈沖遞減計(jì)數(shù)分時(shí)置數(shù)控制器目錄課題名稱以及設(shè)計(jì)要求…………………41.1課題名稱……………………41.2設(shè)計(jì)要求……………………4系統(tǒng)概述…………………42.1總體方案的選擇………………42.2系統(tǒng)總體結(jié)構(gòu)框架……………52.3系統(tǒng)基本工作原理……………6單元電路設(shè)計(jì)與分析……………………73.1秒脈沖發(fā)生器單元……………73.2倒計(jì)數(shù)以及顯示單元…………83.3信號(hào)燈控制單元………………93.4順序定時(shí)置數(shù)置數(shù)單元………103.5黃燈閃爍以及蜂鳴提示單元…………………12系統(tǒng)仿真…………………134.1仿真說明……………………134.2仿真示意圖…………………14系統(tǒng)綜述以及總體電路圖………………155.1系統(tǒng)綜述……………………155.2總體電路圖…………………17結(jié)束語……………………18元件明細(xì)表………………187.1元件明細(xì)列表………………187.2元件說明……………………19參考文獻(xiàn)……………………19收獲體會(huì)與總結(jié)……………209.1收獲體會(huì)……………………209.2問題反思以及對(duì)未來交通信號(hào)控制的思考……20鳴謝…………21課題名稱以及設(shè)計(jì)要求課題名稱簡(jiǎn)易交通燈信號(hào)控制器設(shè)計(jì)要求 簡(jiǎn)易交通信號(hào)燈控制,主要實(shí)現(xiàn)功能如下:1.定周控制:主干道綠燈45秒,支干道綠燈25秒;2.每次由綠燈變?yōu)榧t燈時(shí),應(yīng)有5秒黃燈亮作為過渡;3.分別用紅、黃、綠色發(fā)光二級(jí)管表示信號(hào)燈;4.設(shè)計(jì)計(jì)時(shí)顯示電路。功能擴(kuò)展:黃燈亮的時(shí)候伴隨黃燈閃燈和蜂鳴提示;考慮到主干道與次干道車流量的變化,為了人性化的控制交通信號(hào)燈,可以自由設(shè)定主干道和次干道各個(gè)信號(hào)燈的亮變時(shí)間,在0-99范圍內(nèi)變化。系統(tǒng)概述總體方案的選擇經(jīng)過我們上網(wǎng)查資料以及在圖書館借閱相關(guān)的領(lǐng)域知識(shí),我們得出以下三種可以實(shí)現(xiàn)本次課設(shè)目的的方案,方案如下:方案一:由主控制電路和秒脈沖發(fā)生器組成,其中主控制電路包括:主控制器、清零裝置、驅(qū)動(dòng)裝置、信號(hào)燈裝置及一些邏輯門。主控制器中采用兩塊74LS290二-五-十進(jìn)制來實(shí)現(xiàn)八十進(jìn)制計(jì)數(shù)器。秒脈沖發(fā)生器由555秒脈沖發(fā)生器負(fù)責(zé)提供脈沖信號(hào)。接通電源瞬間,清零裝置將主控制器清零,緊接著,主干道綠燈和支干道紅燈打開,其余主、支道燈關(guān)閉。秒脈沖傳送到控制器,主控制電路在45s到,50s到,75s到,80s到分別產(chǎn)生翻轉(zhuǎn)信號(hào),從而改變主、支道綠、黃、紅燈的開閉持續(xù)時(shí)間,繼而實(shí)現(xiàn)交通信號(hào)燈控制。方案二:由定時(shí)器NE555構(gòu)成的多諧振蕩器產(chǎn)生秒脈沖,兩塊74LS192芯片級(jí)聯(lián)成61進(jìn)制倒計(jì)時(shí)器,計(jì)時(shí)器輸出的數(shù)據(jù)通過兩塊74LS48譯碼器和兩塊七段數(shù)碼管顯示出來。由倒計(jì)時(shí)器與邏輯門構(gòu)成定時(shí)器,在每隔55秒或5秒輸出一個(gè)脈沖,觸發(fā)狀態(tài)控制器工作。狀態(tài)控制器控制著信號(hào)燈的轉(zhuǎn)換。方案三:主要有秒脈沖產(chǎn)生模塊,倒計(jì)時(shí)模塊,控制信號(hào)燈模塊,定時(shí)置數(shù)模塊構(gòu)成。秒脈沖產(chǎn)生模塊采用555定時(shí)器構(gòu)成的多謝震蕩器產(chǎn)生周期為一秒的脈沖,提供給計(jì)數(shù)器工作,達(dá)到計(jì)時(shí)的目的;倒計(jì)時(shí)模塊采用兩片74LS190級(jí)聯(lián)構(gòu)成一個(gè)倒計(jì)時(shí)計(jì)數(shù)的電路,通過使用來自秒脈沖的CP脈沖以及定時(shí)置數(shù)模塊的置數(shù)功能,來完成倒計(jì)時(shí)并且實(shí)時(shí)顯示在LED數(shù)碼顯示器上;控制信號(hào)燈模塊,接收來自計(jì)數(shù)模塊倒計(jì)時(shí)為零時(shí)的信號(hào),通過74LS161的循環(huán)計(jì)數(shù)功能,配合相應(yīng)的門電路完成三種信號(hào)燈之間的交替亮滅;順序置數(shù)模塊采用三片74LS465以及74LS138譯碼器組成,接收信號(hào)燈亮滅的信號(hào)來實(shí)現(xiàn)對(duì)計(jì)數(shù)器的置數(shù)。方案三的思路比較清晰,里面的元器件自己在課堂上都比較熟悉,而且有很大的發(fā)揮空間,可以增加一些比較華麗的功能模塊,綜合以上,我們最終選擇了方案三來作為我們本次系統(tǒng)設(shè)計(jì)的最終方案。系統(tǒng)總體結(jié)構(gòu)框架簡(jiǎn)易交通信號(hào)燈控制系統(tǒng)主要有以下幾個(gè)重要的模塊夠構(gòu)成:1.秒脈沖產(chǎn)生模塊2.倒計(jì)時(shí)及顯示模塊,3.信號(hào)燈控制模塊,4.順序置數(shù)模塊,5.功能擴(kuò)展模塊(黃燈閃爍以及蜂鳴報(bào)警);本系統(tǒng)的總體結(jié)構(gòu)框架如下圖所示:倒計(jì)時(shí)計(jì)數(shù)以及顯示倒計(jì)時(shí)計(jì)數(shù)以及顯示信號(hào)燈控制電路定時(shí)順序置數(shù)電路黃燈閃爍及蜂鳴器倒計(jì)時(shí)計(jì)數(shù)以及顯示信號(hào)燈控制電路定時(shí)順序置數(shù)電路黃燈閃爍及蜂鳴器秒脈沖產(chǎn)生主干道信號(hào)燈控制支干道信號(hào)燈控制時(shí)間控制配合圖2.1系統(tǒng)的總體結(jié)構(gòu)框架圖系統(tǒng)基本工作原理本系統(tǒng)采用主干道和支干道分開實(shí)現(xiàn)功能,但又相互配合的思路,用時(shí)間的相關(guān)性將主干道與支干道的信號(hào)燈的亮滅相聯(lián)系起來。根據(jù)系統(tǒng)的要求:主干道綠燈45秒,支干道綠燈25秒;每次由綠燈變?yōu)榧t燈時(shí),應(yīng)有5秒黃燈亮作為過渡;則其時(shí)間循環(huán)圖如下所示:綠燈45秒綠燈45秒黃燈5秒紅燈30秒主干道循環(huán)時(shí)間圖2.2主干道時(shí)間循環(huán)圖紅燈50秒紅燈50秒綠燈25秒黃燈5秒支干道循環(huán)時(shí)間圖2.3支干道時(shí)間循環(huán)圖對(duì)于其中一條干道,系統(tǒng)的工作流程圖如下所示:秒脈沖產(chǎn)生秒脈沖信號(hào)秒脈沖產(chǎn)生秒脈沖信號(hào)倒計(jì)時(shí)工作及顯示顯示信號(hào)燈控制三種燈亮滅順序置數(shù)對(duì)計(jì)數(shù)器置數(shù)提供三種燈轉(zhuǎn)換的信號(hào)提供一秒的CP脈沖信號(hào)提供倒計(jì)時(shí)到零的信號(hào)提供三種預(yù)置數(shù)閃黃燈和蜂鳴器報(bào)警圖2.4系統(tǒng)工作信號(hào)流程圖系統(tǒng)工作原理如下:初始狀態(tài),對(duì)于主干道,計(jì)數(shù)器預(yù)置數(shù)45秒,在CP脈沖的作用下開始進(jìn)行倒計(jì)時(shí),當(dāng)?shù)褂?jì)數(shù)至零時(shí),可以對(duì)信號(hào)燈控制電路產(chǎn)生一個(gè)上升沿的單脈沖,該脈沖將作為74LS161的脈沖輸入,完成一次計(jì)數(shù),實(shí)現(xiàn)對(duì)綠燈,黃燈和紅燈的亮滅的控制,此時(shí)紅燈亮,當(dāng)三種信號(hào)燈完成一次狀態(tài)變化時(shí),將變化的信號(hào)傳送給74LS138譯碼器,由該譯碼器選中相應(yīng)的74LS465預(yù)置的倒計(jì)時(shí)數(shù),并將該倒計(jì)數(shù)置給計(jì)數(shù)器74LS190,完成一次工作循環(huán),然后是5秒的黃燈,最后是30秒的紅燈。對(duì)于支干道而言,循環(huán)時(shí)間是50秒,25秒,5秒,其具體的循環(huán)過程與主干道一致。單元電路設(shè)計(jì)與分析3.1秒脈沖發(fā)生電路秒脈沖發(fā)生電路是該系統(tǒng)的時(shí)間動(dòng)力,提供計(jì)數(shù)器的工作時(shí)鐘頻率,從而完成倒計(jì)數(shù)模塊信號(hào)傳遞。秒脈沖發(fā)生電路時(shí)由555定時(shí)器構(gòu)成的多諧振蕩器。因?yàn)榭刂葡到y(tǒng)是以秒作為單位,所以用秒脈沖發(fā)生器且對(duì)信號(hào)的精度要求不高,故選用555定時(shí)器構(gòu)成。其工作原理圖如下所示:產(chǎn)生CP秒脈沖產(chǎn)生CP秒脈沖 圖3.5555秒脈沖發(fā)生器555定時(shí)器周期計(jì)算:T1=(R1+R2)Cln2=0.7(R1+R2)CT2=R2Cln2=0.7R2CT=T1+T2=(R1+2R2)Cln2=0.7(R1+2R2)C555定時(shí)器組成的秒脈沖Cp的周期為1s,即T=1,所以可設(shè)置參數(shù)R1=57.72kR2=28.86kC=10uFCf=10uF說明:在電路仿真的過程中,為了保證仿真的準(zhǔn)確性,該模塊產(chǎn)生的脈沖信號(hào)可以由信號(hào)發(fā)生器來代替。3.2倒計(jì)數(shù)以及顯示單元十字路口要有數(shù)字顯示作為倒計(jì)時(shí)提示,以便人們更直接準(zhǔn)確地把握時(shí)間。具體工作方式為:當(dāng)某方向綠燈亮?xí)r,置顯示器為某值,然后以每秒減1計(jì)數(shù)方式工作,直到減到數(shù)為“0”,產(chǎn)生一個(gè)標(biāo)志信號(hào),控制十字路口綠、黃、紅變換,一次工作循環(huán)結(jié)束,進(jìn)入下一個(gè)某方向的工作循環(huán)。倒計(jì)時(shí)的顯示由四輸入的DCD—HEX數(shù)碼顯示,顯示計(jì)數(shù)器的輸出值。有多種減法計(jì)數(shù)器可供選擇,例如74190,74191,74192,74193等等。在這里,計(jì)數(shù)器我們選用集成電路74190進(jìn)行設(shè)計(jì),是比較簡(jiǎn)便的。通過兩種接線我們來實(shí)現(xiàn)倒計(jì)時(shí)計(jì)數(shù)器,74190是十進(jìn)制同步可逆計(jì)數(shù)器,它具有異步并行置數(shù)功能,保持功能。74190沒有專用的清零輸入端,但可以借助QA、QB、QC、QD的輸入數(shù)據(jù)間接清零功能。功能表如下:D/UCLKABCDQAQBQCQD0ABCD011減計(jì)數(shù)001加計(jì)數(shù)110000表3—174190的功能表圖3.674LS190的管腳圖要實(shí)現(xiàn)45s/25s/5s的倒計(jì)時(shí),需要選用兩個(gè)74190芯片級(jí)聯(lián)成一個(gè)從99倒計(jì)到00的計(jì)數(shù)器。兩片計(jì)數(shù)器之間采用異步級(jí)聯(lián)的方式,利用個(gè)位計(jì)數(shù)器的借位輸出脈沖(RCO′)直接作為十位計(jì)數(shù)器的計(jì)數(shù)脈沖(CLK),個(gè)位計(jì)數(shù)器輸入秒脈沖作為計(jì)數(shù)脈沖。選用兩只帶譯碼功能的七段顯示數(shù)碼管實(shí)現(xiàn)兩位十進(jìn)制數(shù)的顯示。D1﹑C1﹑B1﹑A和D0﹑C0﹑B0﹑A0是十位和個(gè)位計(jì)數(shù)器的8421BCD碼置數(shù)輸入端。由74LS190的功能表可知,該計(jì)數(shù)器在零狀態(tài)時(shí)RCO′端通過或門控制兩片計(jì)數(shù)器的控制端LOAD′(低電平有效),從而實(shí)現(xiàn)了計(jì)數(shù)器減計(jì)數(shù)至“00”狀態(tài)瞬間完成置數(shù)的要求。通過8421BCD碼置數(shù)輸入端,可以選擇100以內(nèi)的數(shù)值,實(shí)現(xiàn)0~100秒內(nèi)自由選擇的定時(shí)要求。其電路連接圖如下所示:圖3.7倒計(jì)數(shù)以及顯示單元電路圖 分析: 每當(dāng)該模塊的計(jì)數(shù)到01時(shí)候,通過或非門的連接可以得出以下的輸出信號(hào):即,未倒計(jì)數(shù)至01時(shí)信號(hào)輸出低電平,當(dāng)計(jì)數(shù)至01時(shí),產(chǎn)生高電平,由此過程產(chǎn)生一個(gè)上升沿的單脈沖,該脈沖傳送至下個(gè)模塊:信號(hào)燈控制芯片:74LS161,作為該芯片的工作時(shí)鐘。3.3信號(hào)燈控制單元信號(hào)燈控制模塊,當(dāng)相應(yīng)的倒計(jì)時(shí)到00時(shí),完成信號(hào)燈的轉(zhuǎn)換,用于標(biāo)示交通路口的通行狀態(tài)。 本模塊采用74LS161芯片,配合相應(yīng)的門電路完成三種信號(hào)燈的輪流轉(zhuǎn)換。在本系統(tǒng)中,通過反饋清零法,使該計(jì)數(shù)器工作在0000-0001—0010三個(gè)狀態(tài)循環(huán)每次接收到來自倒計(jì)數(shù)的一個(gè)脈沖,該計(jì)數(shù)器進(jìn)行一次計(jì)數(shù)。G(1,0)=綠燈(亮,滅),Y(1,0)=黃燈(亮,滅),R(1,0)=紅燈(亮,滅);則其相應(yīng)的表達(dá)式為:對(duì)于主干道:G(0)=QA+QB;Y(1)=QA;R(1)=QB;對(duì)于次干道:R(0)=QA+QB;G(1)=QA;Y(1)=QB; 其中,74LS161真值表如下表3-2所示:表3-274160的功能表CPRDLDEPETX0XXXXXXX0000上升沿10XXABCDABCDX110XXXXX保持X11X0XXXX保持下降沿1111XXXX加計(jì)數(shù)說明:該模塊接受的信號(hào)來自倒計(jì)時(shí)計(jì)數(shù)電路的上升沿脈沖,傳出的信號(hào)000-001-010三個(gè)信號(hào),傳送至定時(shí)預(yù)置數(shù)模塊。則該模塊的電路圖如下所示:圖3.8信號(hào)燈控制單元3.4順序定時(shí)置數(shù)單元因?yàn)閿?shù)碼管每次顯示的時(shí)間是從不同時(shí)間基點(diǎn)開始的(主干道45.5.30,支干道50、25.5),所以必須要有一個(gè)順序定時(shí)置數(shù)單元,來控制當(dāng)某一個(gè)數(shù)碼管顯示“0”后,下一步要從哪個(gè)數(shù)字開始倒計(jì)時(shí)。在這里可以選擇74465來實(shí)現(xiàn)這一功能。 每個(gè)干道選用三片74465組成按順序定時(shí)置數(shù)的控制電路,三片74465輸入端分別以8421BCD碼的形式由按鍵設(shè)定主、支干道的通行時(shí)間和黃燈亮的時(shí)間。輸出端按照高、低位對(duì)應(yīng)關(guān)系并聯(lián)并按照Y8~Y1由高到低排列后,接到倒計(jì)時(shí)計(jì)數(shù)器的置數(shù)輸入端。三片74465的選通控制端分別有對(duì)應(yīng)狀態(tài)的上一狀態(tài)選通(低電平有效),從而完成對(duì)倒計(jì)時(shí)計(jì)數(shù)器的預(yù)置數(shù)。每一組的三片74465中任何時(shí)刻只能有一片選通,其他兩片輸出端均處于高阻態(tài)。這樣就完成了順序定時(shí)置數(shù)的功能。其中,74465的功能表如下表所示:圖3.874465的功能表倒計(jì)時(shí)計(jì)數(shù)器與信號(hào)燈轉(zhuǎn)換器的配合主要靠順序定時(shí)置數(shù)控制電路。根據(jù)系統(tǒng)的設(shè)計(jì)要求,主干道的綠燈亮的時(shí)間是45秒,可以為第一個(gè)74465設(shè)置為01000101,黃燈亮的時(shí)間是5秒,則給第二個(gè)74465設(shè)置為00000101,紅燈亮的時(shí)間是30秒,則要給第三個(gè)74465預(yù)置為00110000;支干道的紅燈亮的時(shí)間是50秒,可以為第一個(gè)74465設(shè)置為01010000,綠燈亮的時(shí)間是25秒,則給第二個(gè)74465設(shè)置為00100101,黃燈亮的時(shí)間是05秒,則要給第三個(gè)74465預(yù)置為00000101,他們的順序由74LS138譯碼器來控制,74LS138的功能表如下表2-3所示。表2-374LS138的功能表控制輸入譯碼碼輸入輸出S1S2+S3A2A1A0Y1Y2Y3Y4Y5Y6Y7Y8X1XXX111111110XXXX111111111000001111111100011011111110010110111111001111101111101001111011110101111110111011011111101101111111111074LS138譯碼器接收來自三個(gè)信號(hào)燈變化狀態(tài)時(shí)的電平高低變化,如對(duì)主干道來說,綠燈時(shí)為000,黃燈時(shí)001,紅燈時(shí)010,經(jīng)74LS138譯碼器譯碼后分別選中第一第二第三片74465,進(jìn)而可以給倒計(jì)時(shí)電路預(yù)置初始的倒計(jì)時(shí)數(shù)。在設(shè)計(jì)該電路模塊的時(shí)候,為了方便以后調(diào)節(jié)時(shí)間,特別采用可以調(diào)節(jié)定時(shí)時(shí)間的撥碼開關(guān)來控制所置的數(shù),定時(shí)預(yù)置數(shù)功能模塊的電路圖3.9如下所示:圖3.9定時(shí)預(yù)置數(shù)功能模塊電路圖說明:該電路的信號(hào)來源來自于信號(hào)燈的控制電路,輸出的為倒計(jì)時(shí)電路提供與預(yù)置數(shù),完成控制相應(yīng)燈的閃亮?xí)r間。3.5黃燈閃爍以及蜂鳴提示單元我們要求黃燈點(diǎn)亮的時(shí)候,黃燈進(jìn)行閃爍,用于提醒交通通過者,故用一個(gè)頻率為1Hz的脈沖與控制黃燈的輸出信號(hào)用一個(gè)與門連接至黃燈,即可實(shí)現(xiàn)黃燈閃爍的效果!同時(shí)將黃燈的輸出信號(hào)接給蜂鳴器,即可在黃燈閃爍的同時(shí)使蜂鳴器發(fā)出聲響!提醒路人:黃燈亮了,請(qǐng)等一等!如下為黃燈閃爍以及蜂鳴提示單元電路圖:圖3.10黃燈閃爍以及蜂鳴提示單元電路圖說明:該電路模塊的信號(hào)來源有黃燈的點(diǎn)亮信號(hào)控制,配合相應(yīng)的頻率發(fā)生器,實(shí)現(xiàn)閃爍與蜂鳴報(bào)警的功能。系統(tǒng)仿真4.1仿真說明對(duì)于該電路的整體仿真,說明如下:初始化時(shí)主干道綠燈開始亮,時(shí)間為45秒,此時(shí)次干道紅燈亮,時(shí)間是50秒;當(dāng)主干道倒計(jì)時(shí)完成時(shí),黃燈閃爍并且蜂鳴報(bào)警,此時(shí)次干道的紅燈仍然亮,時(shí)間剩余5秒;當(dāng)主干道的黃燈閃爍時(shí)間到零的時(shí)候,主干道的紅燈點(diǎn)亮,時(shí)間是30秒,次干道的綠燈點(diǎn)亮,時(shí)間是25秒;當(dāng)次干道的時(shí)間為零時(shí)候,其黃燈點(diǎn)亮閃爍并且蜂鳴報(bào)警,此時(shí),主干道的紅燈仍然點(diǎn)亮,時(shí)間是5秒。為了便于電路的仿真準(zhǔn)確性,秒脈沖發(fā)生裝置用信號(hào)發(fā)生器來代替,便于電路的布局,增加了電路仿真的準(zhǔn)確性。4.2系統(tǒng)仿真示意圖1.循環(huán)過程一:初始化時(shí),主干道顯示時(shí)間45秒,亮燈綠燈,次干道顯示50秒時(shí)間,亮紅燈,確保主干道上正常通行,次干道上禁行,其仿真結(jié)果如下圖4.1所示:圖4.1循環(huán)過程一初始化電路顯示仿真圖2.循環(huán)過程二:主干道顯示時(shí)間5秒,亮燈黃燈,次干道顯示5秒時(shí)間,亮紅燈,確保提醒主干道上注意“等一等”,次干道上禁行,其仿真結(jié)果如下圖4.2所示:圖4.2循環(huán)過程二電路顯示仿真圖3.循環(huán)過程三:主干道顯示時(shí)間30秒,亮燈紅燈,次干道顯示25秒時(shí)間,亮綠燈,確保次干道上通行,主干道上禁行,其仿真結(jié)果如下圖4.3所示:圖4.3循環(huán)過程三電路顯示仿真圖4.循環(huán)過程四:主干道顯示時(shí)間5秒,亮燈紅燈,次干道顯示5秒時(shí)間,亮綠燈,確保提醒次干道上注意“等一等”,主干道上禁行,其仿真結(jié)果如下圖4.4所示:圖4.4循環(huán)過程四電路顯示仿真圖仿真說明:以上四個(gè)過程將完成該系統(tǒng)的一個(gè)循環(huán),如果沒有人為的干涉,該系統(tǒng)將沿著這樣的循環(huán)一直進(jìn)行下去;考慮到實(shí)際的情況并非總是主干道上的車流量大,可以進(jìn)行人為的干預(yù),即通過改變定時(shí)預(yù)置樹模塊的預(yù)置數(shù),重新設(shè)置主干道和次干道的時(shí)間分配,達(dá)到人性化的工作狀態(tài)。系統(tǒng)綜述以及總體電路圖5.1系統(tǒng)綜述本系統(tǒng)的設(shè)計(jì),主要的特色在于將主干道和次干道的控制進(jìn)行部分分開,即分開進(jìn)行控制,同時(shí),他們兩個(gè)有緊密的聯(lián)系,通過公用秒脈沖信號(hào),用時(shí)間的聯(lián)系將兩者緊密的結(jié)合起來。所設(shè)計(jì)系統(tǒng)通過將555多諧振蕩器產(chǎn)生的秒脈沖,該脈沖信號(hào)傳送至倒計(jì)時(shí)電路,該電路進(jìn)行倒計(jì)時(shí)并且將時(shí)間顯示,顯示到00時(shí)的信號(hào)傳送至信號(hào)燈控制電路,由該電路控制信號(hào)燈進(jìn)行有規(guī)律的交替的變化,變化瞬間產(chǎn)生的各個(gè)信號(hào)燈的電平狀態(tài)傳送至定時(shí)置數(shù)電路,由該電路完成對(duì)倒計(jì)時(shí)電路的置數(shù)功能,從而形成一個(gè)干道完整的循環(huán)。5.2總體電路圖結(jié)束語到這里,本交通信號(hào)燈的控制系統(tǒng)基本介紹完畢,該信號(hào)燈控制系統(tǒng)完整的完成了預(yù)期的目的:1.定周控制:主干道綠燈45秒,支干道綠燈25秒;2.每次由綠燈變?yōu)榧t燈時(shí),應(yīng)有5秒黃燈亮作為過渡;3.分別用紅、黃、綠色發(fā)光二級(jí)管表示信號(hào)燈;4.設(shè)計(jì)計(jì)時(shí)顯示電路。 同時(shí)為使該系統(tǒng)更加的人性化,增加其面對(duì)多種情況的應(yīng)對(duì)策略,出色的完成了一些附加的功能,主要有:1.黃燈亮的時(shí)候伴隨黃燈閃燈和蜂鳴提示;2.考慮到主干道與次干道車流量的變化,為了人性化的控制交通信號(hào)燈,可以自由設(shè)定主干道和次干道各個(gè)信號(hào)燈的亮變時(shí)間,在0-99范圍內(nèi)變化。本系統(tǒng)的一大特色之處是,將主干道與次干道的控制既進(jìn)行了部分分開,避免了之間的相互干擾,同時(shí)也便于分開進(jìn)行控制,此外又將兩條干道進(jìn)行時(shí)間的緊密聯(lián)系,確保該信號(hào)燈控制系統(tǒng),可以按照需要無誤的完成其指揮交通的功能。元件明細(xì)表7.1元件明細(xì)列表序號(hào)名稱型號(hào)參數(shù)數(shù)量備注174LS190D74LS系列4計(jì)數(shù)器24002BD_5VCMOS_5V,5四輸入或非門374LS465N74LS系列6選通芯片47404N74STD4非門574LS00D74LS系列2兩輸入與非門674LS16174LS系列2計(jì)數(shù)器74001BD_5VCMOS_5V2兩輸入或非門874LS13874LS系列23-8譯碼器9BUZZER1000HZ2蜂鳴器10SWITCH單開雙擲48開關(guān)11DCD_HEXORANGE4數(shù)碼顯示表7-1元件明細(xì)列表圖7.1由multisim輸出的元件清單圖7.2元件說明1.74LS190D為倒計(jì)時(shí)模塊的倒計(jì)時(shí)芯片,本系統(tǒng)中一共是用了4個(gè);2.CMOS_5V,4002BD_5V為四輸入的或非門,一共使用了5個(gè);3.74LS465N為定時(shí)置數(shù)模塊的預(yù)置數(shù)芯片,一共使用了6個(gè);4.74STD,7404N為單輸入的非門,本系統(tǒng)一共使用了4個(gè);5.74LS00D為兩輸入的與非門,本系統(tǒng)一共使用了2個(gè);674LS161為計(jì)數(shù)器,用于控制信號(hào)的的轉(zhuǎn)換,本系統(tǒng)一共使用了2個(gè);7.CMOS_5V,4001BD_5V為兩輸入的或非門,系統(tǒng)一共使用了2個(gè);8.74LS138為3-8譯碼器,用于選擇置數(shù)的定時(shí)預(yù)置數(shù),一共使用了2個(gè);9.1000HZ的蜂鳴器使用率兩個(gè),用于控制黃燈是的蜂鳴報(bào)警;10預(yù)置數(shù)的開關(guān)選擇,根據(jù)需要一共使用了48個(gè);11.四輸入的數(shù)碼顯示管DCD_HEX,一共使用了4個(gè),顯示主干道和次干道上的時(shí)間。參考文獻(xiàn)[1]王連英主編.基于Multisim10的電子仿真實(shí)驗(yàn)與設(shè)計(jì).北京市:北京郵電大學(xué)出版社,2009.08[2]林濤主編.楚巖,田莉娟,林薇編著.數(shù)字電子技術(shù).北京:清華大學(xué)出版社,2006(2007重?。?2006年6月第一版[3]袁宏,李忠波等著.電子設(shè)計(jì)與仿真技術(shù).北京:機(jī)械工業(yè)出版社,2010.4[4]劉福太主編.梁發(fā)麥、魏書

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論