計(jì)算機(jī)原理課電子教學(xué)課件_第1頁
計(jì)算機(jī)原理課電子教學(xué)課件_第2頁
計(jì)算機(jī)原理課電子教學(xué)課件_第3頁
計(jì)算機(jī)原理課電子教學(xué)課件_第4頁
計(jì)算機(jī)原理課電子教學(xué)課件_第5頁
已閱讀5頁,還剩754頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

計(jì)算機(jī)組成原理計(jì)算機(jī)組成原理第一單元 第一講學(xué)習(xí)目的和方法計(jì)算機(jī)發(fā)展簡史學(xué)習(xí)目的了解計(jì)算機(jī)的組成五大組成部件掌握計(jì)算機(jī)的運(yùn)行原理計(jì)算機(jī)為什么能執(zhí)行高級(jí)語言程序掌握現(xiàn)代計(jì)算機(jī)中的一些核心技術(shù)流水、Cache、并行培養(yǎng)設(shè)計(jì)計(jì)算機(jī)的技能提高編程能力成為計(jì)算機(jī)科學(xué)家、計(jì)算機(jī)專家主要教學(xué)內(nèi)容計(jì)算機(jī)的層次結(jié)構(gòu)運(yùn)算器的功能、組成和基本運(yùn)行原理控制器的功能、組成和運(yùn)行原理存儲(chǔ)器及層次存儲(chǔ)器系統(tǒng)輸入/輸出設(shè)備和總線學(xué)習(xí)目標(biāo)掌握單臺(tái)計(jì)算機(jī)的完整硬件組成基本運(yùn)行原理內(nèi)部運(yùn)行機(jī)制了解計(jì)算機(jī)系統(tǒng)的最新發(fā)展達(dá)到能獨(dú)立設(shè)計(jì)一臺(tái)完整計(jì)算機(jī)的水平。學(xué)習(xí)方法課堂講授閱讀參考資料思考完成實(shí)驗(yàn)習(xí)題和實(shí)驗(yàn)報(bào)告討論和總結(jié)考試考評(píng)辦法課堂討論習(xí)題和作業(yè)實(shí)驗(yàn)和報(bào)告考試計(jì)算機(jī)里有什么?什么是計(jì)算機(jī)?構(gòu)成計(jì)算機(jī)的基礎(chǔ)理論大部分已經(jīng)有50年了。目前,計(jì)算機(jī)的關(guān)鍵部件也沒有大的改變CPUDataPathControllerMemoryI/O什么是計(jì)算機(jī)?功能部件CPUDataPathControllerMemoryI/OIntelPentium什么是計(jì)算機(jī)?Datapath:完成算術(shù)和邏輯運(yùn)算,通常包括其中的寄存器。Control:CPU的組成部分,它根據(jù)程序指令來指揮datapath,memory以及I/O運(yùn)行,共同完成程序功能。Memory:存放運(yùn)行時(shí)程序及其所需要的數(shù)據(jù)的場所。Input:信息進(jìn)入計(jì)算機(jī)的設(shè)備,如鍵盤、鼠標(biāo)等。Output:將計(jì)算結(jié)構(gòu)展示給用戶的設(shè)備,如顯示器、磁盤、打印機(jī)、喇叭等。ComputerMemoryInputOutputDatapathControl計(jì)算機(jī)組成ProcessorVonNeumannMachineDatapathMemoryI/OBus-BasedComputerAnatomyofaModernPCMultiprocessorsIBMASCIWhite:8Kprocessors,13Tflops計(jì)算機(jī)剖析計(jì)算機(jī)系統(tǒng)計(jì)算機(jī)結(jié)構(gòu)計(jì)算機(jī)處理器邏輯設(shè)計(jì)電路(VLSI)設(shè)計(jì)設(shè)備制造計(jì)算機(jī)剖析(續(xù))計(jì)算機(jī)系統(tǒng)計(jì)算機(jī)結(jié)構(gòu)計(jì)算機(jī)處理器高級(jí)語言匯編語言機(jī)器語言計(jì)算機(jī)組成原理LevelsofRepresentationHighLevelLanguageProgram(e.g.,C)AssemblyLanguageProgram(e.g.,MIPS)MachineLanguageProgram(MIPS)ControlSignalSpecificationCompilerAssemblerMachineInterpretationtemp=v[k];v[k]=v[k+1];v[k+1]=temp;lw $to, 0($2)lw $t1, 4($2)sw $t1, 0($2)sw $t0, 4($2)00001001110001101010111101011000101011110101100000001001110001101100011010101111010110000000100101011000000010011100011010101111

°°計(jì)算機(jī)組成ASix-LevelComputerMultilevelMachineEvolutionofMultilevelMachinesBarehardwareMicroprogrammingOperatingsystemCompilersHardware/softwareinterfaceSimpleISACISCRISC第一單元第二講計(jì)算機(jī)發(fā)展簡史運(yùn)算器功能及數(shù)據(jù)表示內(nèi)容提要計(jì)算機(jī)發(fā)展簡史運(yùn)算器基本功能數(shù)據(jù)表示數(shù)值整數(shù)定點(diǎn)小數(shù)浮點(diǎn)數(shù)字符邏輯值ComputerGenerationsGen-0:Mechanicalcomputers(BCtoearly1940s)Gen-1:VacuumTubes(1943-1959)Gen-2:Transistors(1960-1968)JohnBardeen,WalterBrattain,andWilliamShockleyGen-3:IntegratedCircuits(1969-1977)JackKilby(1958)Gen-4:VLSI(1978-present)Gen-5:Optical? Quantum?

生物?

古代及近代計(jì)算技術(shù)公元前500年,中國出現(xiàn)算盤1642年,BlaisePascal發(fā)明自動(dòng)進(jìn)位的加法機(jī)器,齒輪驅(qū)動(dòng)撥盤,在窗口顯示結(jié)果1822年,CharlesBabbage,差分機(jī)(DifferentialEngie)1833年,分析機(jī)(AnalyticalEngine),通用機(jī)器16世紀(jì),Alkhowarizmi提出算法概念,并出版以算法(Algorithm)為題的書籍Turing機(jī)1937年,AlanTuring提出一種“通用”計(jì)算機(jī)的概念,它可以執(zhí)行任何一個(gè)描述好的程序(算法),實(shí)現(xiàn)需要的功能,形成了“可計(jì)算性”概念的基礎(chǔ)。存儲(chǔ)程序的思想,使計(jì)算機(jī)從專用走向通用。正是這一創(chuàng)新,開創(chuàng)了計(jì)算機(jī)的新時(shí)代。Turing機(jī)特點(diǎn)通用計(jì)算機(jī):并不是保存所有問題的結(jié)果。存儲(chǔ)程序計(jì)算機(jī):問題的求解由程序或過程給出,程序和過程可以通過語言描述。有限速度:計(jì)算機(jī)執(zhí)行程序的時(shí)間是有限的。是現(xiàn)代計(jì)算機(jī)的鼻祖?,F(xiàn)代計(jì)算機(jī)的里程碑第一臺(tái)通用電子計(jì)算機(jī)ENIACElectronicNumericalandIntegrateCalculator

MauchlyandEckert設(shè)計(jì)1946年2月14日第一臺(tái)通用電子計(jì)算機(jī),設(shè)計(jì)用于計(jì)算火炮的彈道重30噸,占地15000平方英尺,18000個(gè)電子管,耗電140KW運(yùn)算速度:5000次加法/秒使用十進(jìn)制數(shù)20個(gè)寄存器,每個(gè)存放10位的十進(jìn)制數(shù)通過設(shè)置6000個(gè)開關(guān)和其它眾多的插頭和插座來編程VonNeumann機(jī)IAS現(xiàn)代計(jì)算機(jī)結(jié)構(gòu)的鼻祖:五大功能部件將程序和數(shù)據(jù)統(tǒng)一表示:存儲(chǔ)器有4096個(gè)字,每個(gè)字40位。采用二進(jìn)制數(shù)據(jù):簡化了存儲(chǔ)器只提供整數(shù)運(yùn)算:任何有能力的數(shù)學(xué)家都能在頭腦中記住小數(shù)點(diǎn)的位置。第一臺(tái)小型計(jì)算機(jī):PDP-11957年由DEC公司生產(chǎn)第二代計(jì)算機(jī)(晶體管)18位字長,4K內(nèi)存,機(jī)器周期為5微秒售價(jià)120000美元。售出50臺(tái)。開創(chuàng)了計(jì)算機(jī)產(chǎn)業(yè)!第一個(gè)系列計(jì)算機(jī):IBM360第三代計(jì)算機(jī)計(jì)算機(jī)應(yīng)用:科學(xué)計(jì)算和商務(wù)處理如何在不同的硬件平臺(tái)上運(yùn)行相同的軟件?系列計(jì)算機(jī):IBM360計(jì)算機(jī)系統(tǒng)結(jié)構(gòu):程序員眼中的計(jì)算機(jī)。具有相同系統(tǒng)結(jié)構(gòu)的計(jì)算機(jī)可以運(yùn)行相同的程序。微程序控制器應(yīng)用的普及:IBMPC機(jī)RISC計(jì)算機(jī)精簡指令系統(tǒng)計(jì)算機(jī)指令系統(tǒng)盡量簡單,復(fù)雜指令通過簡單指令的組合來完成提高計(jì)算機(jī)的運(yùn)行速度下一代計(jì)算機(jī)????????運(yùn)算器基本功能完成算術(shù)、邏輯運(yùn)算+、—、

、

、、、。取得操作數(shù)寄存器組、立即數(shù)輸出、存放運(yùn)算結(jié)果寄存器組、數(shù)據(jù)總線暫存運(yùn)算的中間結(jié)果Q寄存器、移位寄存器運(yùn)算器基本功能獲得運(yùn)算結(jié)果的狀態(tài)C、Z、V、S理解、響應(yīng)控制信號(hào)DatapathMemoryI/OALU功能和設(shè)計(jì)功能對(duì)操作數(shù)A、B完成算術(shù)邏輯運(yùn)算ADD、AND、OR設(shè)計(jì)算術(shù)運(yùn)算加法器邏輯運(yùn)算與門、或門323232operationresultabALUOne-BitLogicalInstructionsMapdirectlyontohardwarecomponentsANDinstructionOneofdatalinesshouldbeasimpleANDgateORinstructionAnotherdatalineshouldbeasimpleORgateABC01Op(control)DefinitionAorB1AandB0COpOne-BitFullAdderEachbitofadditionhasThreeinputbits:Ai,Bi,CarryIniTwooutputbits:Sumi,CarryOuti(CarryIni+1=CarryOuti)CarryIn...(0)

(1)

(0)

(0)

(0)...0 0101...0 0110...0 (0)1(1)0(0)1(0)1+InputsOutputsA:B:Sum:CarryOutFullAdder’sTruthTableSumASymbolBCarryInCarryOut+DefinitionABCarryInCarryOutSum0000000101010010111010001101101101011111CarryOut=(A’*B*CarryIn)+(A*B’*CarryIn)+(A*B*CarryIn’)+ (A*B*CarryIn)=(B*CarryIn)+(A*CarryIn)+(A*B)Sum=(A’*B’*CarryIn)+(A’*B*CarryIn’)+(A*B’*CarryIn’)+(A*B*CarryIn)FullAdderCircuit(1/2)ConstructthegatesforSumImplementthegatesforCarryOutConnectallinputswiththesamenameFullAdderCircuit(2/2)One-BitALULeastsignificantbitOtherbits數(shù)據(jù)編碼與表示計(jì)算機(jī)中要存儲(chǔ)的數(shù)據(jù)程序、整數(shù)、浮點(diǎn)數(shù)、字符(串)、邏輯值需要編碼進(jìn)行表示存儲(chǔ)方式用數(shù)字電路的兩個(gè)狀態(tài)表示由上一層的抽象計(jì)算機(jī)來識(shí)別不同的內(nèi)容編碼原則少量簡單的基本符號(hào)一定的規(guī)則表示大量復(fù)雜的信息基二碼(二進(jìn)制碼)只使用兩個(gè)基本符號(hào):1

0符號(hào)個(gè)數(shù)最少,物理上容易實(shí)現(xiàn)與二值邏輯的真

假兩個(gè)值對(duì)應(yīng)簡單用二進(jìn)制碼表示數(shù)值數(shù)據(jù)運(yùn)算規(guī)則簡單數(shù)據(jù)表示二進(jìn)制位可以表示任何對(duì)象:字符26字母=>5位大/小寫+其它符號(hào)=>7bits(in8)世界上其他語言=>16bits(unicode)無符號(hào)整數(shù)(0,1,…,2n-1)邏輯值0->False,1=>True顏色位置/地址/指令但

n位只能代表2n

個(gè)不同的對(duì)象邏輯型數(shù)據(jù)邏輯型數(shù)據(jù)只有兩個(gè)值:真和假,正好可以用二進(jìn)制碼的兩個(gè)符號(hào)分別表示,例如

1

表示真則

0

表示假不必使用另外的編碼規(guī)則。對(duì)邏輯型數(shù)據(jù)可以執(zhí)行邏輯的與或

非等基本邏輯運(yùn)算。其規(guī)則如下:邏輯型數(shù)據(jù)基本運(yùn)算規(guī)則

X

YX與YX或YX的非

0

0001

0

1011

1

0010

1

1110

字符型數(shù)據(jù)的表示

字符作為人—機(jī)聯(lián)系的媒介,是最重要的數(shù)據(jù)類型之一,當(dāng)前的西文字符集由128

個(gè)符號(hào)組成,通常用8位二進(jìn)制編碼,即用一個(gè)字節(jié)來表示每一個(gè)符號(hào),當(dāng)前通用的兩個(gè)標(biāo)準(zhǔn)字符集是:ASCII碼:即AmericanStandardCodefor

InformationInterchangeUNICODE:IS10646ASCII碼字符集具體編碼如下表所示:

ASCII字符編碼集

b6b5b4000001010011100101110111b3b2b1b00000NULDLESP0@P,p0001SOHDC1!1AQaq0010STXDC2“2BRbr0011ETXDC3#3CScs0100EOTDC4$4DTdt0101ENQNAK%5EUeu0110ACKSYN&6FVfv0111BELETB‘7GWgw1000BSCAN(8HXhx1001HTEM)9IYiy1010LFSUB*:JZjz1011VTESC+;K[k{1100FFFS,<L\l|1101CRGS-=M]m}1110SORS.>N^n~1111SIUS/?O_o字符串的表示與存儲(chǔ)字符串是指連續(xù)的一串字符,它們占據(jù)主存中連續(xù)的多個(gè)字節(jié),每個(gè)字節(jié)存放一個(gè)字符,對(duì)一個(gè)主存字的多個(gè)字節(jié),有按從低位到高位字節(jié)次序存放的,也有按從高位到低位字節(jié)次序存放的。表示字符串?dāng)?shù)據(jù)要給出串存放的主存起始地址和串的長度。例如:IFA>BTHENREAD(C)就可以有如下不同的存放方式:

IFAAFI>BTTB>假定每個(gè)字

HENNEH由4個(gè)字節(jié)

READDAER組成

(C))C(UNICODE編碼使用16位表示一個(gè)字符,可以表示65536個(gè)字符將整個(gè)編碼空間劃分為塊,每塊為16的整數(shù)倍,按塊進(jìn)行分配。保留6400個(gè)碼點(diǎn)供本地化使用。并無法覆蓋所有字符。進(jìn)位記數(shù)法與進(jìn)制轉(zhuǎn)換進(jìn)位記數(shù)法N=i=mDi*irN代表一個(gè)數(shù)值r是這個(gè)數(shù)制的基(Radix)i表示這些符號(hào)排列的位號(hào)Di是位號(hào)為i的位上的一個(gè)符號(hào)ri是位號(hào)為i的位上的一個(gè)1代表的值irDi*是第i位的所代表的實(shí)際值

表示m+k+1位的值求累加和

-k十進(jìn)制轉(zhuǎn)二進(jìn)制整數(shù)部分除2取余小數(shù)部分乘2取整211222521011010.625*210.25*200.5*210.0除盡為止

求得位數(shù)滿足要求為止低高高低從二進(jìn)制數(shù)求其十進(jìn)制的值,逐位碼權(quán)累加求和二到八或十六進(jìn)制轉(zhuǎn)換二到八從小數(shù)點(diǎn)向左右三位一分組(10011100.01)2=(234.2)8010

二到十六從小數(shù)點(diǎn)向左右四位一分組(10011100.01)2=(9C.4)16

0100

說明:整數(shù)部分不足位數(shù)對(duì)轉(zhuǎn)換無影響,

小數(shù)部分不足位數(shù)要補(bǔ)零湊足,否則出錯(cuò)。二—十進(jìn)制編碼(BCD編碼)用四位二進(jìn)制表示一位十進(jìn)制,16個(gè)編碼狀態(tài)選用其中的10個(gè)編碼有多種方案,例如:8421碼,余3碼,循環(huán)碼又可區(qū)分為:有權(quán)碼:每位上的1代表確定的值無權(quán)碼:無法確定每位上的

1

代表的值00000001100000000100010100000101112001001010011011030011011000100101401000111011001005010110001110101160110100110101010701111010100010018100010111100100091001110001001111有權(quán)碼

無權(quán)碼8421余3碼循環(huán)碼84-2-1如何判定碼權(quán)00000101114+(-2)+(-1)201104+(-2)驗(yàn)證每個(gè)碼的值301014+(-1)401004從一編碼求碼權(quán)510118+(-2)+(-1)61010-2結(jié)論71001-1證明此編碼系統(tǒng)為有權(quán)碼81000

8911118+4+(-2)+(-1)如何判定碼權(quán)000112+1=0驗(yàn)證各碼的值101001從一編碼求碼權(quán)201011301102401115100061001結(jié)論71010

證明此編碼系統(tǒng)為無權(quán)碼

8101191100數(shù)值數(shù)據(jù)在計(jì)算機(jī)內(nèi)的格式定點(diǎn)小數(shù):N=NNN……...Ns-1-n-2整數(shù):N=NNN...NN01snn-1浮點(diǎn)數(shù):N=M

EE...EE

MM...M

ssm-110-1-2-n符號(hào)位

階碼位

尾數(shù)數(shù)碼位

總位數(shù)

短浮點(diǎn)數(shù):

1

8

2332長浮點(diǎn)數(shù):1

11

5264臨時(shí)浮點(diǎn)數(shù):1

15

64

80IEEE標(biāo)準(zhǔn):階碼用移碼,尾數(shù)用原碼

基為2數(shù)值數(shù)據(jù)在計(jì)算機(jī)內(nèi)的格式定點(diǎn)小數(shù):N=NNN……...Ns-1-n-2整數(shù):N=NNN...NN01snn-1浮點(diǎn)數(shù):N=M

EE...EE

MM...M

ssm-110-1-2-n符號(hào)位

階碼位

尾數(shù)數(shù)碼位

總位數(shù)

短浮點(diǎn)數(shù):

1

8

2332長浮點(diǎn)數(shù):1

11

5264臨時(shí)浮點(diǎn)數(shù):1

15

64

80IEEE標(biāo)準(zhǔn):階碼用移碼,尾數(shù)用原碼

基為2數(shù)值數(shù)據(jù)在計(jì)算機(jī)內(nèi)的格式定點(diǎn)小數(shù):N=NNN……...Ns-1-n-2整數(shù):N=NNN...NN01snn-1浮點(diǎn)數(shù):N=M

EE...EE

MM...M

ssm-110-1-2-n符號(hào)位

階碼位

尾數(shù)數(shù)碼位

總位數(shù)

短浮點(diǎn)數(shù):

1

8

2332長浮點(diǎn)數(shù):1

11

5264臨時(shí)浮點(diǎn)數(shù):1

15

64

80IEEE標(biāo)準(zhǔn):階碼用移碼,尾數(shù)用原碼

基為2定點(diǎn)小數(shù)表示:NsN1N2…Nn

[X]=[X]=[X]=原X1-X-1<X

0反X(2-2)+X-n0

X<1-1<X

0補(bǔ)X2+XMod(2-2)0

X<1-1

X

0Mod20

X<1-n(純小數(shù))原碼,反碼,補(bǔ)碼的定義定點(diǎn)小數(shù)表示:NsN1N2…Nn

原碼定義:[X]

=實(shí)例:X1=0.10110-0.101100.0000[X]原

=0101101101100000010000

結(jié)論:原碼為符號(hào)位加數(shù)的絕對(duì)值,0正1負(fù)

原碼零有兩個(gè)編碼,+0和-0編碼不同

原碼難以用于加減運(yùn)算,但乘除方便X1-X-1<X

0

0

X<1定點(diǎn)小數(shù)表示:NsN1N2…Nn

反碼定義:[X]反

=實(shí)例:X1=0.10110-0.101100.0000[X]反=0101101010010000011111結(jié)論:反碼為符號(hào)位跟數(shù)每一位的反,0正1負(fù)反碼零有二個(gè)編碼,分+0和-0

反碼難以用于加減運(yùn)算,有循環(huán)進(jìn)位問題X(2-2-n)+X-1<X

0MOD(2-2-n)

0

X<1定點(diǎn)小數(shù)表示:NsN1N2…Nn

模2

補(bǔ)碼定義:[X]補(bǔ)

=實(shí)例:X=0.10110-0.101100.0000[X]補(bǔ)=01011010101000000結(jié)論:補(bǔ)碼最高一位是符號(hào)位,0正1負(fù)補(bǔ)碼表示為2*符號(hào)位+數(shù)的真值補(bǔ)碼零只有一個(gè)編碼,故能表示-1

補(bǔ)碼能很好地用于加減(乘除)運(yùn)算X2+X-1

X

0MOD2

0

X<1整數(shù)的編碼表示整數(shù)的原碼

反碼

補(bǔ)碼表示與小數(shù)的三種表示基本相同,差別僅表現(xiàn)在小數(shù)點(diǎn)的位置,可以認(rèn)為整數(shù)的小數(shù)點(diǎn)在最低數(shù)值位的右側(cè)因此整數(shù)的模與整數(shù)位數(shù)有關(guān),講課中不大用整數(shù)講原反補(bǔ)

碼定義例如:整數(shù)八位碼:

X=+01110[X]原=001110[X]補(bǔ)=001110X=-01110[X]原=101110[X]補(bǔ)=110010補(bǔ)碼表示中的符號(hào)位擴(kuò)展由[X]補(bǔ)求[X/2]補(bǔ)的方法

原符號(hào)位不變,且符號(hào)位與數(shù)值位均右移一位,例如,[X]補(bǔ)=10010則[X/2]補(bǔ)=110010不同位數(shù)的整數(shù)補(bǔ)碼相加減時(shí),位數(shù)少的補(bǔ)碼數(shù)的符號(hào)位向左擴(kuò)展,一直擴(kuò)展到與另一數(shù)的符號(hào)位對(duì)齊。

01010101110000110101010111000011+1111111110011100+0000000000011100

01010101010111110101010111011111補(bǔ)碼加減法的實(shí)現(xiàn)[X+Y]=[X]+[Y][X-Y]=[X]+[-Y][-Y]=對(duì)[Y]逐位取反,再在最低位加1溢出判斷:正+正得負(fù)或負(fù)+負(fù)得正數(shù)字位有向符號(hào)位的進(jìn)位,但符號(hào)位不產(chǎn)生向更高位的進(jìn)位雙符號(hào)位的值為01

或10補(bǔ)補(bǔ)補(bǔ)補(bǔ)補(bǔ)補(bǔ)補(bǔ)歩補(bǔ)補(bǔ)補(bǔ)補(bǔ)補(bǔ)補(bǔ)補(bǔ)補(bǔ)補(bǔ)碼加減法運(yùn)算實(shí)例X=0.1011y=-0.0101模

4補(bǔ)碼[X]=001011,[Y]=111011 [-Y]=000101

001011001011+111011+0001011000110010000X+YX-Y(溢出)補(bǔ)補(bǔ)補(bǔ)小結(jié)計(jì)算機(jī)組成原理單臺(tái)計(jì)算機(jī)完整的硬件系統(tǒng)的基本原理與內(nèi)部運(yùn)行機(jī)制計(jì)算機(jī)的層次結(jié)構(gòu)理解計(jì)算機(jī)系統(tǒng)和結(jié)構(gòu)的鑰匙計(jì)算機(jī)的發(fā)展歷史以史為鑒,可知興替,可明得失。原反補(bǔ)碼表示小結(jié)正數(shù)的原碼,反碼,補(bǔ)碼表示均相同,符號(hào)位為0,數(shù)值位同數(shù)的真值。零的原碼和反碼均有2個(gè)編碼,補(bǔ)碼只一個(gè)碼負(fù)數(shù)的原碼,反碼,補(bǔ)碼表示均不同,符號(hào)位為1,數(shù)值位:原碼為數(shù)的絕對(duì)值;反碼為每一位均取反碼;補(bǔ)碼為反碼再在最低位+1;由[X]補(bǔ)求[-X]補(bǔ):每一位取反后再在最低位+1;

由[X]補(bǔ)求X的真值:X=-1+

Xi*2-ii=1n作業(yè)閱讀:《結(jié)構(gòu)化計(jì)算機(jī)組成》第1章思考:計(jì)算機(jī)發(fā)展歷史經(jīng)驗(yàn)和今后的方向。思考:計(jì)算機(jī)為什么采用二進(jìn)制數(shù)據(jù)?計(jì)算題:教材:P130 習(xí)題2.12.2各任選兩個(gè)數(shù)2.32.11思考:如何用加法器實(shí)現(xiàn)減法?乘法呢?78第一單元 運(yùn)算器部件第四講 運(yùn)算器設(shè)計(jì)及舉例79運(yùn)算器基本功能完成算術(shù)、邏輯運(yùn)算+、—、

、

、、、。取得操作數(shù)寄存器組、立即數(shù)輸出、存放運(yùn)算結(jié)果寄存器組、數(shù)據(jù)總線暫存運(yùn)算的中間結(jié)果Q寄存器、移位寄存器80運(yùn)算器基本功能獲得運(yùn)算結(jié)果的狀態(tài)C、Z、V、S理解、響應(yīng)控制信號(hào)81全加器電路Sum=(A’*B’*CarryIn)+(A’*B*CarryIn’)+(A*B’*CarryIn’)+(A*B*CarryIn)CarryOut=(A’*B*CarryIn)+(A*B’*CarryIn)+(A*B*CarryIn’)+ (A*B*CarryIn)=(B*CarryIn)+(A*CarryIn)+(A*B)82補(bǔ)碼減法根據(jù)算術(shù)運(yùn)算規(guī)則:a-b=a+(-b)-b的補(bǔ)碼為:將b的各位求反,并加1。我們可以用加法器實(shí)現(xiàn)減法。加法器8332-位被乘數(shù)寄存器,32-位ALU,64-位部分積寄存器(0-位乘數(shù)寄存器)Product(Multiplier)Multiplicand32-bitALUWriteControl32bits64bitsShiftRight原碼乘法的實(shí)現(xiàn)(三)8432-位除數(shù)寄存器,32-位ALU,64-位余數(shù)(被除數(shù))寄存器除數(shù)32-bitALUWriteControl32bits64bits左移除法的實(shí)現(xiàn)余數(shù)85運(yùn)算器實(shí)現(xiàn)ALU完成算術(shù)、邏輯運(yùn)算。寄存器組存放數(shù)據(jù)和結(jié)果輔助寄存器完成中間結(jié)果的存放選通門等控制數(shù)據(jù)通行需要哪些控制信號(hào)?ALU86FX實(shí)現(xiàn)補(bǔ)碼加減運(yùn)算的邏輯電路FsFALU目的寄存器源寄存器選通門二選通門選通門F1XYFYXF0101F/YFsOVRZC累加器XX+YXX-YFXFYXFFXF/YF1XF加減需要的控制信號(hào):功能選擇87FX實(shí)現(xiàn)補(bǔ)碼加減運(yùn)算的邏輯電路FsALU目的寄存器源寄存器選通門選通門選通門F1XYFYXF0101F/YFsOVRZC必要完善:單累加器變多累積器:兩個(gè)選通門均變?yōu)槎嗦匪筒僮鲾?shù)到ALU處理接收門送指定累加器。支持寄存器移位功能:接收門變?yōu)槿x一,即分別接收本位/低位/高位送來的信息送累加器與外部部件的入出聯(lián)系88實(shí)現(xiàn)補(bǔ)碼加減運(yùn)算的邏輯電路ALU通用寄存器組選通門選通門選通門F1FsOVRZC

必要完善:單累加器變多累積器:89實(shí)現(xiàn)補(bǔ)碼加減運(yùn)算的邏輯電路ALU通用寄存器組選通門選通門選通門F1FsOVRZC必要完善:單累加器變多累積器:兩個(gè)選通門均變?yōu)槎嗦匪筒僮鲾?shù)到ALU處理接收門送指定累加器。增加的控制信號(hào):操作數(shù)來源90實(shí)現(xiàn)補(bǔ)碼加減運(yùn)算的邏輯電路ALU通用寄存器組選通門選通門選通門F1FsOVRZC

必要完善:單累加器變多累積器:兩個(gè)選通門均變?yōu)槎嗦匪?還是送1到ALU處理接收門送每個(gè)累加器。支持寄存器移位功能:接收門變?yōu)槿x一,即分別接收本位/低位/高位送來的信息送累加器增加的控制信號(hào):結(jié)果處理91實(shí)現(xiàn)補(bǔ)碼加減運(yùn)算的邏輯電路ALU通用寄存器組選通門選通門選通門F1FsOVRZC

必要完善:單累加器變多累積器:兩個(gè)選通門均變?yōu)槎嗦匪?還是送1到ALU處理接收門送每個(gè)累加器。支持寄存器移位功能:接收門變?yōu)槿x一,即分別接收本位/低位/高位送來的信息送累加器與外部部件的入出聯(lián)系輸出輸入92實(shí)現(xiàn)補(bǔ)碼加減運(yùn)算的邏輯電路ALU通用寄存器組選通門選通門選通門F1FsOVRZC

必要完善:單累加器變多累積器:兩個(gè)選通門均變?yōu)槎嗦匪?還是送1到ALU處理接收門送每個(gè)累加器。支持寄存器移位功能:接收門變?yōu)槿x一,即分別接收本位/低位/高位送來的信息送累加器與外部部件的入出聯(lián)系輸出輸入93實(shí)現(xiàn)補(bǔ)碼加減運(yùn)算的邏輯電路ALU通用寄存器組

乘商寄存器

選通門選通門選通門F1YFsOVRZC可選擇的完善:支持硬件乘除運(yùn)算指令需要增加一個(gè)Q寄存器該寄存器應(yīng)能自行移位選通門送入輸出94實(shí)現(xiàn)補(bǔ)碼加減運(yùn)算的邏輯電路ALU通用寄存器組

乘商寄存器選通門選通門選通門F1YFsOVRZC可選擇的完善:支持硬件乘除運(yùn)算指令需要增加一個(gè)Q寄存器該寄存器應(yīng)能自行移位它應(yīng)能接收與送出數(shù)據(jù)選通門送入輸出95實(shí)現(xiàn)補(bǔ)碼加減運(yùn)算的邏輯電路ALU通用寄存器組

乘商寄存器選通門選通門選通門F1YFsOVRZC選擇完善:支持硬件乘除運(yùn)算指令需要增加一個(gè)Q寄存器該寄存器應(yīng)能自行移位應(yīng)能接收與送出數(shù)據(jù)。選通門送入輸出96二選一ALU二選一三選一R0R1R三選一Q三選一nCyF=0000OVRF15Y15~Y0D15~D0Cin數(shù)據(jù)輸出數(shù)據(jù)輸入乘商通用寄存器定點(diǎn)運(yùn)算器部件實(shí)例OEABAm2901狀態(tài)輸出A口地址B口地址RAM15RAM0Q15Q0RS控制信號(hào)I2I1I0I5I4I3I8I7I6選數(shù)據(jù)源選操作功能選結(jié)果安排97運(yùn)算器的控制與操作ALU支持多種算數(shù)與邏輯運(yùn)算功能需要提供運(yùn)算功能選擇信號(hào)寄存器組含多個(gè)累加器需要提供兩組選擇累加器編號(hào)的信號(hào)有多種不同方案組合數(shù)據(jù)送ALU的使用要求需要提供選擇數(shù)據(jù)組合的信號(hào)運(yùn)算器內(nèi)寄存器有多種接收數(shù)據(jù)的不同方案需提供那個(gè)寄存器接收,按什么辦法接收的控制信號(hào)累加器與乘商寄存器的移位關(guān)系寄存器最高位與最低位的移入信號(hào)需用另外線路提供98入出信號(hào)及引腳Y15~Y0D15~D0RAM15Q15RAM0Q0CPOECinCyF=0OverF15運(yùn)算器部件A3~A0B3~B0I8~I6I5~I3I2~I099入出信號(hào)及引腳Y15~Y0(指示燈顯示)D15~D0(開關(guān)撥數(shù))RAM15Q15RAM0Q0CPOE(已接地)CinCyF=0OverF15運(yùn)算器部件4片2901,1片2902A3~A0B3~B0I8~I6I5~I3I2~I0一片GAL一片GAL2個(gè)12位的微型開關(guān)SCiSSTSSH100Am2901的控制信號(hào)編碼I8I7I6I5I4I3I2I1I0000QFFR+SAQ001FS-RAB010BFAR-S0Q011BFFR

S0B100BF/2QQ/2F R

S0A101BF/2FR

SDA110B2FQ2QFR

SDQ111B2FFR

SD0BQYRS運(yùn)算器用的GAL20V8的控制信號(hào)GAL1:狀態(tài)寄存器GAL3:進(jìn)位入、移位入SSTCZVSSCi運(yùn)算Cn運(yùn)算Cn000CZVS00加0減1001CyZROVF1501加1減0010內(nèi)部總線的一位10加C減/C0111ZVS11方波方波1000ZVSSSH左移位右移位101RAM0ZVS000X0X110RAM15ZVS01CXCX111Q0ZVS10Q15/F15Cy

RAM0

RAM0Q0RAM15Q15102控制器提供的控制信號(hào)

B55~B48

下地址

B47~B40B39~B32

備用CI3~CI0SCCSC備SST

B31~B24B23~B16

MIOI8~I6REQI5~I3WEI2~I0A口

B15~B8B7~B0B口SCiSSHSADC1SBDC2103教學(xué)計(jì)算機(jī)的運(yùn)算器概述教學(xué)計(jì)算機(jī)的運(yùn)算器的組成與設(shè)計(jì)字長16(8)位,用4(2)片4位的位片結(jié)構(gòu)的Am2901組成1.要詳細(xì)介紹Am2901芯片的內(nèi)部組成和實(shí)現(xiàn)的功能2.怎樣連接4(2)片Am2901成為16(8)位的運(yùn)算器3.怎樣解決運(yùn)算器實(shí)用中的幾個(gè)問題:(1)如何給出Am2901最低位的進(jìn)位輸入信號(hào)(2)如何處理運(yùn)算結(jié)果的標(biāo)志位(3)如何處理移位指令、置‘1’C和清‘0’C觸發(fā)器的指令(4)如何支持硬件乘法、除法指令 重點(diǎn)是前2項(xiàng)內(nèi)容和第3項(xiàng)中的(1)(2)教學(xué)計(jì)算機(jī)運(yùn)算器的控制和使用(包括教學(xué)實(shí)驗(yàn))104二選一B16個(gè)A通用寄存器三選一二選一三選一三選一ALUSRB鎖存器A鎖存器乘商寄存器QCn/OEQ3Q0RAM0RAM3F輸出YF3F=0000OVRCn+4輸入DA口地址B口地址4位的Am2901內(nèi)部組成與功能組成算邏運(yùn)算部件16個(gè)累加器乘商寄存器Q5組多路選擇門功能

8種運(yùn)算功能8種數(shù)據(jù)組合8種結(jié)果處理105ALUSRCnFF3F=0000OVRCn+4Am2901芯片是一個(gè)4位的位片結(jié)構(gòu)的運(yùn)算器器件,內(nèi)部組成講解如下

第一個(gè)組成部分是算邏運(yùn)算部件ALU,完成3種算術(shù)運(yùn)算和5種邏輯運(yùn)算,共8種運(yùn)算功能其輸出為F,兩路輸入為S、R,最低位進(jìn)位Cn,4個(gè)狀態(tài)輸出信號(hào),如圖所示符號(hào)位結(jié)果為零結(jié)果溢出進(jìn)位輸出R+SS

RR

SR∨SR

SR

SR

SR

S8種運(yùn)算功能0000010100111001011101113位功能選擇碼106B16個(gè)A通用寄存器ALUSRB鎖存器A鎖存器CnFF3F=0000OVRCn+4A口地址B口地址第二個(gè)組成部分是通用寄存器組由16個(gè)寄存器構(gòu)成,并通過B口與A口地址選擇被讀的寄存器,B口地址還用于指定寫入寄存器通過B口地址、A口地址讀出的數(shù)據(jù)將送到B、A鎖存器,要寫入寄存器的數(shù)據(jù)由一個(gè)多路選擇器送來。(寫入)107B16個(gè)A通用寄存器ALUSRB鎖存器A鎖存器Q寄存器CnFF3F=0000OVRCn+4A口地址B口地址第三個(gè)組成部分是乘商寄存器Q它能對(duì)自己的內(nèi)容完成左右移位功能,其輸出可以送往ALU,并可接收ALU的輸出結(jié)果。108該芯片的第四個(gè)組成部分是5組多路選通門,包括B16個(gè)A通用寄存器三選一二選一ALUSRB鎖存器A鎖存器Q寄存器CnFF3F=0000OVRCn+4輸入DA口地址B口地址一組三選一門和另一組二選一門用來選擇送向ALU的R、S輸入端的數(shù)據(jù)來源,包括:Q寄存器、

A口、B口數(shù)據(jù)、外部輸入D數(shù)據(jù)共8種不同組合。109B16個(gè)A通用寄存器三選一二選一ALUSRB鎖存器A鎖存器Q寄存器CnFF3F=0000OVRCn+4輸入DA口地址B口地址8種數(shù)據(jù)組合(R,S)AQAB0Q0B0ADADQD03位數(shù)據(jù)選擇碼000001010011100101110111一組三選一門和另一組二選一門用來選擇送向ALU的R、S輸入端的數(shù)據(jù)來源,包括:Q寄存器、

A口、B口數(shù)據(jù)、外部輸入D數(shù)據(jù)共8種不同組合。110B16個(gè)A通用寄存器三選一二選一三選一三選一ALUSRB鎖存器A鎖存器Q寄存器CnQ3Q0RAM0RAM3FF3F=0000OVRCn+4輸入DA口地址B口地址一組三選一門完成把ALU的輸出、或左移一位、或右移一位的值送往通用寄存器組,最高、最低位移位信號(hào)有雙向入/出問題一組三選一門完成Q寄存器的左移一位、或右移一位、或接收ALU輸出值的功能,最高、最低位移位信號(hào)有雙向入/出問題。111二選一B16個(gè)A通用寄存器三選一二選一三選一三選一ALUSRB鎖存器A鎖存器Q寄存器Cn/OEQ3Q0RAM0RAM3F輸出YF3F=0000OVRCn+4輸入DA口地址B口地址一組二選一門,選擇把ALU結(jié)果或A口數(shù)據(jù)送出芯片,給出輸出Y的數(shù)據(jù),Y輸出的有無還受使能信號(hào)控制,僅當(dāng)/OE為低才有Y輸出,/OE為高Y輸出為高阻態(tài)1128種結(jié)果處理3位控制碼通用寄存器Q寄存器Y輸出

000QFF001F010BFA011BFF100BF/2QF/2F101BF/2F110B2FQ2FF111B2FF113B16個(gè)A通用寄存器B鎖存器A鎖存器A口地址B口地址二選一三選一二選一三選一三選一Q3Q0RAM0RAM3ALUSRCnFF3F=0000OVRCn+4運(yùn)算器,三大件運(yùn)算暫存乘除快多路選通連起來數(shù)據(jù)組合選擇

I2I1I0運(yùn)算功能選擇

I5I4I3運(yùn)算結(jié)果處理

I8I7I6運(yùn)算暫存乘除快數(shù)據(jù)組合有內(nèi)外運(yùn)算功能指明白存移輸出巧安排多路選通連起來存移輸出巧安排存移輸出巧安排存移輸出巧安排Q寄存器輸入D/OE輸出Y114二選一B16個(gè)A通用寄存器三選一二選一三選一三選一ALUSRB鎖存器A鎖存器乘商寄存器QCn/OEQ3Q0RAM0RAM3F輸出YF3F=0000OVRCn+4輸入DA口地址B口地址Am2901內(nèi)部組成組成算邏運(yùn)算部件16個(gè)累加器乘商寄存器Q5組多路選擇門功能8種運(yùn)算功能8種數(shù)據(jù)組合8種結(jié)果處理I5~I3I2~I0I8~I6115運(yùn)算器的時(shí)鐘脈沖信號(hào)運(yùn)算器的時(shí)鐘脈沖信號(hào)CP教學(xué)計(jì)算機(jī)的主頻:1.8432MHz/3A、B口鎖存通用寄存器接受Q接受周期和低電平的作用

注意兩個(gè)跳變沿116Am2901的操作使用操作功能控制信號(hào)B口A口I8I7I6I5I4I3I2I1I0CnR0R0+R1R2

R2

R0Q

R0

右移R0R0+R1R0

R0

R1YA口YF00000110001000001000100000010001001100001010001000001000000000001000/0000000001000011000010R0

R0

R1YF000001100011000010117作業(yè)閱讀:教材第2章思考:運(yùn)算器是如何完成算術(shù)運(yùn)算的?思考:運(yùn)算器設(shè)計(jì)中應(yīng)考慮哪些主要問題?書面作業(yè):教材P131第11、13、14、15題。118第一單元 運(yùn)算器部件第五講 教學(xué)計(jì)算機(jī)運(yùn)算器設(shè)計(jì)119運(yùn)算器基本功能完成算術(shù)、邏輯運(yùn)算+、—、

、

、、、。取得操作數(shù)寄存器組、立即數(shù)輸出、存放運(yùn)算結(jié)果寄存器組、數(shù)據(jù)總線暫存運(yùn)算的中間結(jié)果Q寄存器、移位寄存器120運(yùn)算器基本功能獲得運(yùn)算結(jié)果的狀態(tài)C、Z、V、S理解、響應(yīng)控制信號(hào)121內(nèi)容提要TEC-2000運(yùn)算器指標(biāo)運(yùn)算器設(shè)計(jì)芯片連接方式超前進(jìn)位最低位進(jìn)位標(biāo)志位設(shè)計(jì)移位指令122B16個(gè)A通用寄存器B鎖存器A鎖存器A口地址B口地址二選一三選一二選一三選一三選一Q3Q0RAM0RAM3ALUSRCnFF3F=0000OVRCn+4運(yùn)算器,三大件運(yùn)算暫存乘除快多路選通連起來數(shù)據(jù)組合選擇

I2I1I0運(yùn)算功能選擇

I5I4I3運(yùn)算結(jié)果處理

I8I7I6運(yùn)算暫存乘除快數(shù)據(jù)組合有內(nèi)外運(yùn)算功能指明白存移輸出巧安排多路選通連起來存移輸出巧安排存移輸出巧安排存移輸出巧安排Q寄存器輸入D/OE輸出Y123二選一B16個(gè)A通用寄存器三選一二選一三選一三選一ALUSRB鎖存器A鎖存器乘商寄存器QCn/OEQ3Q0RAM0RAM3F輸出YF3F=0000OVRCn+4輸入DA口地址B口地址Am2901內(nèi)部組成組成算邏運(yùn)算部件16個(gè)累加器乘商寄存器Q5組多路選擇門功能8種運(yùn)算功能8種數(shù)據(jù)組合8種結(jié)果處理I5~I3I2~I0I8~I6124Am2901的控制信號(hào)編碼I8I7I6I5I4I3I2I1I0000QFFR+SAQ001FS-RAB010BFAR-S0Q011BFFR

S0B100BF/2QQ/2F R

S0A101BF/2FR

SDA110B2FQ2QFR

SDQ111B2FFR

SD0BQYRS125TEC-2000運(yùn)算器指標(biāo)字長16位(8位),由4(2)片Am2901組成支持16位(8位)的算術(shù)、邏輯運(yùn)算主頻:1.8432MHz/3126Am2901Y11~8Y3~0D11~8D7~4D3~0CinRAM0Q0Y7~4高位Am2901Y15~12D15~12OVERF=0F15CyCPRAM15Q15A口B口I8~6I5~3I2~04片Am2901之間的連接Am2901Am2901VccR127片間高速進(jìn)位用Am2902高位Am2901Am2901Am2901低位Am2901Am2902Cn+zCn+yCn+x/G/P+5VY15~12Y11~8Y7~4Y3~0D15~12D11~8D7~4D3~0OVERF=0F15CyCinCPRAM15Q15RAM0Q0A口B口I8~6I5~3I2~012816(8)位機(jī)運(yùn)算器入出信號(hào)及引腳Y15(7)~Y0D15(7)~D0RAM15(7)Q15(7)RAM0Q0CPOECinCyF=0OverF15(7)運(yùn)算器部件A3~A0B3~B0I8~I6I5~I3I2~I0129ALU可完成的功能ADDR0,R1;SUBR0,R1?INCR0?MOVR1,1;ADDR0,R1DECR0?ADCR0?SBBR0,R1R0+/R1+1(C)R0+0+1(C)R0+/0+0(C)R0+R1+0(C)R0+0+CR0+/R1+C130TEC—2000ALU最低位進(jìn)位信號(hào)最低位進(jìn)位Cin

控制碼SCI應(yīng)用場合舉例

000ADDDEC

101SUBINCC10

ADCSBB

方波11量測波形和轉(zhuǎn)移地址計(jì)算

(16位機(jī)和8位機(jī)都

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論