電氣工程及其自動化專業(yè)畢業(yè)論文完整版-單相交直交逆變電源設計_第1頁
電氣工程及其自動化專業(yè)畢業(yè)論文完整版-單相交直交逆變電源設計_第2頁
電氣工程及其自動化專業(yè)畢業(yè)論文完整版-單相交直交逆變電源設計_第3頁
電氣工程及其自動化專業(yè)畢業(yè)論文完整版-單相交直交逆變電源設計_第4頁
電氣工程及其自動化專業(yè)畢業(yè)論文完整版-單相交直交逆變電源設計_第5頁
已閱讀5頁,還剩42頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

I1.本課題所涉及的問題在國內(外)的研究現(xiàn)狀綜述電源是各種電子設備的核心,它有如人體的心臟,是所有電類設備的動力。20世紀90年代以來,隨著電力電子技術飛速地發(fā)展,不斷涌現(xiàn)出新型電力電子器件,高智商化IC和新電路拓撲,創(chuàng)造出十年前意想不到的許多新型穩(wěn)壓電源?,F(xiàn)代高頻開關穩(wěn)壓電源作為電源的一個分支,由于它具有功率小,效率高,體積小,重量輕,穩(wěn)壓范圍寬,可靠安全等一系列特點,現(xiàn)在正越來越受到青睞和推崇?,F(xiàn)代高頻開關穩(wěn)壓電源技術涉及的內容是極其廣泛和復雜的,它橫跨了三個學科:一是微電子精細加工的智能化專用集成電路控制芯片系統(tǒng);二是正在快速更新的高性能功率半導體MOSFET和IGBT等電力電子器件;三是要合理利用,繞制各種電感器件和變壓器所用的磁性材料等幾大類。人們常用的逆變器大多為方波輸出,他們的缺點是都不能帶電扇,洗衣機,電動機等感性負載。多次諧波對VCD,功放等電器干擾很大,有時調整不當則VCD不能正常播放,即使在輸出端加上電阻,電感,電容等來平滑濾波,也不能帶電感性負載,但卻會使體積增大,效率變低,成本增加。80年代初期,為了提高逆變電源的通信功能及顯示功能,逆變電源的設計中采用了微處理器,但是,由于微處理器的速度問題,逆變電源的控制仍然采用模擬電路進行。數(shù)字化、網絡化已經成為信息社會的主流,隨著高性能的DSP控制器的出現(xiàn),逆變電源的全數(shù)字控制成為現(xiàn)實。DSP能夠實時地讀取逆變電源的輸出,并實時地計算出PWM輸出值,使得一些先進的控制策略應用于逆變電源的控制成為可能。對于逆變電源所帶的大量非線性電子負載狀況,可以針對非線性負載動態(tài)變化產生的諧波進行動態(tài)的補償,從而使得輸出諧波達到了可接受的水平。傳統(tǒng)的逆變電源多為模擬控制或者模擬與數(shù)字相結合的控制系統(tǒng)。雖然模擬控制技術己經非常成熟,但其存在很多固有的缺點:控制電路的元器件比較多,電路復雜,所占的體積較大;靈活性不夠,硬件電路設計好了,控制策略就無法改變;調試不方便.由于所采用器件特性的差異.致使電源一致性差,且模擬器件的工作點的漂移,導致系統(tǒng)參數(shù)的漂移。模擬方式很難實現(xiàn)逆變電源的并聯(lián),所以逆變電源數(shù)字化控制是發(fā)展的趨勢是現(xiàn)代逆變電源研究的一個熱點。2.設計(論文)要解決的問題和擬采用的研究方法在設計中主要存在的問題是要保證系統(tǒng)的正常運行,防止主電路對控制電路的干擾。我們擬采用主,控電路完全隔離的方法,既驅動信號用光耦隔離,反饋信號用變壓器隔離,輔助電源用變壓器隔離。對于整個逆變器,逆變電路能否正常的工作決定整個系統(tǒng)的穩(wěn)定和運行狀態(tài),所以設計的重點也是在逆變器的控制和檢測上。我們擬采用集成ICL8038產生正弦波,經過一系列整流后再輸入到SG3524上產生SPWM波。3.本課題需要的、關鍵的問題及解決的思路本課題分兩步設計逆變電源,第一部分為主電路部分,關鍵的問題是對工頻變壓器的選擇,要計算出初,次極線圈的匝數(shù),初,次級電流的計算,初,次級導線截面的計算。其次要解決的難題是限流電路中電容的選擇,要計算出起電容的電壓等級。我們選用的Boost電路中的電感L的選擇也是個關鍵的問題。第二部分為控制電路的設計,其輔助電源的設計是個難題,我們擬采用由一個多組抽頭的變壓器。反饋調壓電路的設計也是個難題,我們擬采用集成芯片SG3524的控制作用來實現(xiàn)。4.完成本課題所必須的工作條件(如工具書、實驗設備或實驗環(huán)境條件、某類市場調研、計算機輔助設計條件等等)及解決的辦法實驗設備:計算機一臺計算機輔助設計條件:AutoCAD參考文獻:[1]劉陽.精密波形發(fā)生器ICL8038.國外電子元器件,1995

[2]張恩懷.開關電源的發(fā)展概況.電力電子技術,1996

[3]劉樹新.現(xiàn)代電力電子技術發(fā)展及應用展望.電力系統(tǒng)及其自動化學報,1994

[4]李強,周希德.\t"_to車載單相正弦脈寬調制IGBT逆變器的研制.電力電子技術,1997[5]《無線電》2003年[6]《美國莫托洛拉公司CMOS集成電路特性與應用手冊》[7]童詩白.模擬電子技術基礎.北京:高等教育出版社,1989.[8]李宏電力電子設備用器件與集成電路應用指南.北京:機械工業(yè)出版社,2001.[9]黃俊,王兆安,電力電子變流技術.北京:機械工業(yè)出版社,1997.[10]盧宏,梁任秋,戴忠達.IGBT驅動保護與應用技術.電力電子技術,1993[11]徐得高,金剛.《脈寬調制變換器型穩(wěn)壓電源》.科學出版社,1983.[12]沙占友。新型特種集成電源及應用.北京:人民郵電出版社,1998.[13]李強,周希德.車載單相正弦脈寬調制IGBT逆變器的研制.電力電子技術,1997,[14]陳道煉非晶鐵心在開關電源中的應用.電子變壓器,1991[15]華偉,周文定.現(xiàn)代電力電子器件及其應用.北京:清華大學出版社,2002.[16]薛永毅,王淑英,何希才.新型電源電路應用實例.北京:電子工業(yè)出版社,2002.[17]張占松,蔡宣三.開關電源的原理與設計.北京:電子工業(yè)出版社,1998.5.設計(論文)完成進度計劃第01周至第02周:市場調研及文獻檢索第03周至第04周:相關理論基礎的學習,完成開題報告及外文資料翻譯第05周至第06周:幾種方案的比較,項確定目的總體方案第07周至第09周:主電路的設計與參數(shù)選擇第10周至第12周:控制電路的設計與參數(shù)選擇第13周至第16周:畢業(yè)論文整理、修改并完成:第17周論文答辯6.指導教師審閱意見由開題情況可見,該同學做了充分的準備工作,查閱了大量的文獻知識,能夠根據目前國內外電力電子器件的發(fā)展情況和各種電源研究的發(fā)展情況,設計出可行的方案,對設計過程中可能出現(xiàn)的關鍵問題分析清楚,提出的思路比較明確,安排的設計計劃比較合理,此設計課題對于促進各類逆變電源的研究具有一定的參考價值。指導教師(簽字):年月日7.教研室主任意見同意開題教研室主任(簽字):系(簽章)年月日說明:本報告必須由承擔畢業(yè)設計(論文)課題任務的學生在接到“畢業(yè)設計(論文)任務書”、正式開始做畢業(yè)設計(論文)的第2周或第3周末之前獨立撰寫完成,并交指導教師審閱。2.每個畢業(yè)設計(論文)課題撰寫本報告一份,作為指導教師、教研室主任審查學生能否承擔該畢業(yè)設計(論文)課題任務的依據,并接受學校的抽查。中文摘要電源是各種電子設備的核心,它有如人體的心臟,是所有電類設備的動力。20世紀90年代以來,隨著電力電子技術飛速地發(fā)展,不斷涌現(xiàn)出新型電力電子器件,高智商化IC和新電路拓撲,創(chuàng)造出十年前意想不到的許多新型穩(wěn)壓電源?,F(xiàn)代高頻開關穩(wěn)壓電源作為電源的一個分支,由于它具有功率小,效率高,體積小,重量輕,穩(wěn)壓范圍寬,可靠安全等一系列特點,現(xiàn)在正越來越受到青睞和推崇?,F(xiàn)代高頻開關穩(wěn)壓電源技術涉及的內容是極其廣泛和復雜的,它橫跨了三個學科:一是微電子精細加工的智能化專用集成電路控制芯片系統(tǒng);二是正在快速更新的高性能功率半導體MOSFET和IGBT等電力電子器件;三是要合理利用,繞制各種電感器件和變壓器所用的磁性材料等幾大類?,F(xiàn)代開關穩(wěn)壓電源已廣泛用于基礎直流電源,交流電源,各種工業(yè)電源,計算機電源,UPS不間斷電源,醫(yī)療和照明電源,雷達高壓電源,音響和視頻電源等。本文設計的單相脈寬調制逆變電源屬于交流電源(AC-DC-AC逆變),采用電壓反饋控制,通過中斷功率通量和調節(jié)占空比的方法來改變驅動電壓脈沖寬度來調整和穩(wěn)定輸出電壓。其主電路構成采用的是Boost電路和全橋電路的組合??刂齐娐凡捎昧?片集成脈寬調制電路芯片,一片用來產生PWM波,另一片與正弦函數(shù)發(fā)生芯片做適當?shù)倪B接來產生SPWM波,集成芯片比分立元器件控制電路具有更簡單,更可靠的特點和易于調試的優(yōu)點。本文詳細的分析了該逆變電源的工作過程,并推導了重要公式。最后對該逆變電源進行了計算機仿真和樣機實驗,驗證了其可行性和有效性。關鍵詞:逆變器;正弦脈寬調制;場效應管AbstractPowersupplyiscoreanddriveofelectricequipments.Withtheflyingdevelopmentofpowerelectronicstechnology,IthascomeforthcontinuallythatisICofhighintelligence,newtypeelectronicdeviceandtopologysince1990's.Asaresult,Alotofnewtypesteady-voltagepowersupplyhasbeencreatedthatisindescribabletenyearsago.High-frequencyswitchingpowersupplyisabranchofpowersupply.Itpossessesmanyadvantagessuchaslow-power,high-frequency,small-volume,light-weight,wide-rangeofsteadyvoltage,credibilityandsecurity.Ithasbeenreceivedandupheldbythepeople.Itinvolvesagreatdealcontentthatisextensiveandintricate.Itbestridessubjectofthreeaspects.ThefirstisICcontrolchipsystemofmicro-electronics;Thesecondiselectronicdevicesofhigh-performancepowersemiconductorsuchasMOSFETandIGBT.Thethirdisvariousdevicesofinductanceandmagneticmaterialsoftransformerhowtobeutilizedandrolledrationally.Steadyvoltagepowersupplyofmodernswitchinghasbeenappliedinthefollowingaspectswidely.TheyareDCpowersupply,ACpowersupply,industrypowersupply,computerpowersupply,UPSpowersupply,powersupplyofmedicaltreatmentandlighting,highvoltagepowersupplyofradar,powersupplyofsoundandvideofrequencyandsoon.Single-phaseSinusoidalPulseWidthModulationInverterPowerSupplyinthispaperbelongstoACpowersupply(AC-DC-ACconvert).Controlmodeadoptsfeedbackofvoltagecontrol.Themethodsofintermittingpowerfluxandchangingduty-cyclecanchangepulsewidthofdrivevoltagethatadjustandrectifyoutputvoltageultimately.ThemaincircuitismadeupofcompoundingofBoostandthefull-bridgecircuit.Thecontrolcircuitadoptstwochipsofintegratedpulsewidthmodulation.OneproducesPWMwaveform.Theotherconnectschipofproducingsinusoidalsignalproperly,whichbringsSPWMwaveform.Integratedchipismoresimple,reliableandlaboratorialthandiscretecomponent.Theoperationofinverterpowersupplyisthoroughlyanalyzedandsomeimportantformulasarededuced.Finally,theprincipleofoperationareillustratedandverifiedonemulationandexperimentalresults.Keywords:inverter;SinusoidalPulseWidthModulation,MOSFET目錄第1章概述 11.1現(xiàn)代電源發(fā)展概況 11.1.1交流穩(wěn)壓電源 11.1.2UPS及交流凈化電源 21.1.3工業(yè)電源的發(fā)展 21.1.4直流開關電源 21.1.5軟開關——PWM功率變換器 31.1.6分布電源技術的發(fā)展 41.1.7功率因數(shù)校正技術 4第2章PWM的工作原理 52.1PWM的基本原理 52.2PWM型逆變電路的控制方式 82.2.1異步調制 82.2.2同步調制 92.3SPWM波形的生成方法 9第3章逆變電源組成及主電路的設計 103.1系統(tǒng)組成 103.2主電路組成及工作原理 113.3主電路設計 113.3.1共模抑制環(huán)節(jié) 113.3.2工頻變壓器設計 133.3.3限流電路設計 143.3.4Boost變換器設計 153.3.5橋式逆變器基本原理 203.4主電路圖 21第4章逆變電路的控制電路設計 224.1輔助電源設計 224.2控制電路框圖 234.3SG3524和ICL8038芯片介紹 244.3.1SG3524芯片 244.3.2ICL8038芯片 254.4控制電路設計 274.4.1利用SG3524生成SPWM波形 274.4.2驅動電路設計 28驅動電路工作原理 28驅動電路參數(shù)原理圖 294.4.3過流保護電路 304.4.4反饋調壓電路 30反饋調壓電路工作原理 30反饋調壓電路主電路圖 314.5控制電路圖 32第5章結論與展望…………..33致謝……………….34參考文獻……………………….36第1章概述1.1現(xiàn)代電源發(fā)展概況現(xiàn)代電源技術是綜合應用了電力電子、電子與電磁技術、自動控制及微處理器技術的一種多學科技術。隨著電子電源的集成化、模塊化、智能化的發(fā)展,功率集成技術己模糊了整機與器件的界限。單片電源和模塊電源已取代了整機電源在一些技術中獲得廣泛應用,并且派生出新的供電體系一分布供電,使單一的集中供電體系走向多元化。進入80年代后,現(xiàn)代電源技術隨著工GBT、功率MOSFET,IPM.MCT等新元器件出現(xiàn),諧振變流、軟開關、電路拓撲等新理論的支持,功率因數(shù)校正、并聯(lián)均流、有源箱位、微機監(jiān)控等技術的應用,使現(xiàn)代電源技術逐漸走向高頻化。高頻化帶來的直接好處是使電源裝置空前小型化,并使電子電源進入更廣泛的領域?,F(xiàn)代電源技術研究總趨勢是交流電源以PWM為主流,不斷提高網側功率因數(shù),實現(xiàn)功率因數(shù)近似為1的電源,并向大功率推進;直流電源以開關方式為主流,擴大輸出電壓范圍和穩(wěn)定的多路電壓控制;進一步提高開關頻率和進一步提高功率密度,提高可靠性,降低電磁干擾和增強抗干擾能力,并使電源模塊朝著超薄型和微型化發(fā)展。1.1.1交流穩(wěn)壓電源從交流電源的發(fā)展來看,我國到80年代前期,第一代交流電源主要是以穩(wěn)壓電源為主。第一代穩(wěn)壓電源的功能是穩(wěn)定交流輸出電壓和頻率,這種電源主要用于市電不穩(wěn)定地區(qū)。從80年代后期,隨著各種電器及電子產品中裝備微處理器的品種逐漸增多,此類產品易于受到瞬間停電及電壓波形變化等的影響,造成動作差錯及數(shù)據丟失,從而對交流電源提出了更高的要求。為此,在八十年代以來,一種具有可任意改變輸出電壓及抗瞬間斷電功能,可以模擬電源線上發(fā)生的異常狀態(tài),采用線性放大器方式的第二代交流電源問世。這種電源改用微處理器替代原來的簡單的控制電路,可以在短時間內進行大量的數(shù)據處理作業(yè)。進入九十年代以后,絕大部分的電氣設備都裝備了微處理器及變換電路,而且,為了在設備內部將交流輸入變換為直流,都備有電容輸入型整流電路,使得輸入電流波形產生很大的失真。這種線路阻抗成為導致市場電源電壓波形畸變的主要原因,對于連接在同一網側的其它用電設備帶來惡劣影響。這種電源高次諧波的影響形成了社會公害。為了解決高次諧波問題,所采取的對策是使其它設備不再產生高次諧波。而交流電源設備,也相應增添快速傅立葉變換等功能,強化其測試能力,并增強其智能水平。這是第三代、第四代交流電源的發(fā)展方向。1.1.2UPS及交流凈化電源UPS電源是一種具有穩(wěn)壓純凈化和無間斷地向負載提供連續(xù)供電能力的優(yōu)質交流電源,它擔負著向計算機等重要設備的供電任務。隨著計算機等設備的不斷發(fā)展和日益推廣普及,對UPS電源提出了越來越多的要求,不僅UPS要有很好的靜態(tài)穩(wěn)定性和很快的動態(tài)調節(jié),還對UPS的體積和重量提出了更高的要求。UPS電源一般采用SPWM技術,這種技術在傳統(tǒng)上采用平均值電壓反饋,在線性負載條件下,顯示出良好的性能。但對非線性負載引起的沖擊響應較慢,而且控制環(huán)節(jié)增多使穩(wěn)定性設計產生困難。雖然可用瞬時值電壓反饋的SPWM技術來解決,但此種技術仍屬于周波內響應,非線性負載的沖擊響應仍然很慢。近幾年利用電流模式的SPWM控制技術,基本上能解決非線性負載的響應很慢的缺點,使得UPS電源性能不斷提高。為了徹底解決現(xiàn)有UPS電源存在的不足和適應各類用電設備的需求,數(shù)控交流穩(wěn)壓電源的研制將是今后的一個重要的方向。1.1.3工業(yè)電源的發(fā)展變頻電源。隨著交流調速的廣泛應用,變頻電源顯得越來越重要。我國在中、小功率變頻電源的研制方面取得了一定的成就,但由于受到電子元器件的限制,在大功率變頻電源的研制和生產上還無法和國外發(fā)達國家相比?,F(xiàn)國內80%的變頻電源依賴進口。電子焊接電源。近年來我國在電子控制的焊接電源研究方面取得了一定的成就,使得電子焊接電源從效率、節(jié)能和可控性能上都取得了滿意的效果,電子焊機的體積、重量不斷減小。1.1.4直流開關電源隨著現(xiàn)代科技的發(fā)展,各類電氣設備對電源的要求越來越高,老式電源己不能滿足技術要求,隨之而來的開關電源己取代了老式電源。開關電源較老式直流電源具有體積小,功率密度高(單位體積輸出功率)等優(yōu)點。早期直流電源一般采用所謂降壓型串聯(lián)控制方式,這種方式的缺點是,輸出電壓下降幅度越大,則功率損耗越大,這些功率損耗變成熱量散發(fā)出來,需要使用較大的散熱片。為了消除這一缺點,后來采用了預調節(jié)方式。這種方式可以將施加到串聯(lián)控制元件上的電壓控制在其所需的最低限度以內,從而大幅度減少串聯(lián)控制元件所消耗的功率。這種電源對減小電源的體積和提高可靠性起了很大作用。為了進一步減小電源體積和減輕重量,提高輸出的功率密度,從六十年代開始對開關電源進行研制,電路形式歷經分立元件、通用集成電路到專用控制器和單片開關集成穩(wěn)壓器,性能價格比不斷提高。開關電源的控制方式傳統(tǒng)上分為脈沖寬度調制(PWM)式、脈沖頻率調制(PFM)式和混合調制式。其中PWM技術最為成熟。PWM型開關電源所使用的變換器均是在高壓大電流情況下強制關斷,隨著電子設備工作頻率的不斷提高,這種變換器在開關瞬間需耗大量功率,而且,電壓、電流在開關時尖峰過大,還需要在開關器件以及高頻變壓器兩端再加上尖峰吸收電路也消耗一定的功率,為此,現(xiàn)在PWM型電源最佳工作頻率在300kHz以下。如何提高開關電源的工作頻率、降低諧波干擾和提高效率將成為以后開關電源研究的方向。1.1.5軟開關——PWM功率變換器六十年代開始得到發(fā)展和應用的PWM功率變換技術是一種硬開關技術。此種功率變換器的開關頻率不宜太高,否則開關損耗太大,變換效率大大降低。提高開關頻率是開關變換技術的重要發(fā)展方向之一。隨著電力電子器件的不斷發(fā)展和應用,電源技術朝著研究開發(fā)高頻軟開關技術方向發(fā)展。所謂“軟開關”是指零電壓開關(ZVS)或零電流開關(ZCS),它是應用諧振原理,使開關變換器的器件電流(或電壓)按正弦或準正弦規(guī)律變化,當電流自然過零時,使器件關斷;電壓過零時,使器件開通,從而使器件開關損耗為零,大大提高變換效率。在七十年代到八十年代中軟開關技術主要以串(并)聯(lián)諧振技術和準諧振、多諧振技術為主,它的特點是開關頻率恒定,所以當輸入電壓或負載變換時,為保持開關變換器輸出電壓基本不變,只要改變它的占空比,是一種恒頻率控制方式,比較簡單。它雖然用了軟開關技術,但是其輸出電壓和頻率有關,為保持輸出電壓在各種運行條件下基本不變,必須調制頻率,即采用變頻控制??刂品绞讲蝗鏟WM簡單。因此,八十年代后期和九十年代開發(fā)了能實現(xiàn)恒頻控制的軟開關技術,即ZCS(或ZVS)PWM變換技術。從而大大提高了電源變換效率,減小了開關損耗,使得開關頻率不斷提高。1.1.6分布電源技術的發(fā)展分布式電源是在集中式電源基礎上發(fā)展的一種系統(tǒng)供電形式,八十年代中期在國外數(shù)字供電系統(tǒng)中首先使用,被認為是數(shù)字系統(tǒng)供電的革新。分布電源是指在一個數(shù)字系統(tǒng)中的每一塊PCB板或模塊都有自己的靠近其負載點的DC/DC轉換器,分布式電源目前應用最廣的是通訊、雷達等系統(tǒng)。分布式電源是將220V或380V的交流電經過AC/DC轉換變成直流后,再經DC/DC變換成低壓直流電源作為中間模塊,每塊負載板再按照所需要的電壓、電流等級選用合適的中間模塊。分布電源一般都采用高頻開關方法,它的效率、體積及抗干擾性與原來的集中式供電相比均有很大的提高,是今后通訊等設備供電系統(tǒng)的發(fā)展方向。1.1.7功率因數(shù)校正技術傳統(tǒng)的AC/DC變換技術易使網側功率因數(shù)降低和向電網注入諧波電流,產生電力公害。為了克服這一問題,過去常采用一種被動式解決方法,即根據電網的無功功率和諧波情況進行補償,例如用無功補償器(SVC)、無功發(fā)生器(SVG)、無源電力濾波器、有源電力濾波器等。進入七十年代后期,隨著電力電子器件的高性能化,拓撲電路的創(chuàng)新,使得有源功率因數(shù)校正技術得以快速發(fā)展,除了能將電網中的無功功率和諧波進行補償外,還將使電網進入潮流可以靈活控制的新階段;特別是進入八十年代,中等容量的有源電力濾波器,己成為用電單位電網入口處諧波綜合治理的一個重要部件。目前在大容量電源裝置中,實施功率因數(shù)校正技術已成為國際電力電子學界研究的熱門話題,特別是九十年代以來,有關這方面的研究文章不斷增加。限制用電設備從電網吸收無功功率和諧波電流,進一步促使功率因數(shù)校正技術向廣度和深度方向發(fā)展。應該說,目前國內在這方面的研究工作,特別是三相功率因數(shù)的校正技術,還處于研究、開發(fā)和完善過程中。第2章PWM的工作原理2.1PWM的基本原理在采樣控制理論中有一個重要的結論:沖量相等而形狀不同的窄脈沖加在具有慣性的環(huán)節(jié)上時,其效果基本相同。沖量即指窄脈沖的面積。這里所說的效果基本相同,指環(huán)節(jié)的輸出響應波形基本相同。如把各輸出波形用傅式變換分析,則其低頻段特性非常接近,僅在高頻段略有差異。例如圖2-la,b,c所示的三個窄脈沖形狀不同,圖2-la為矩形脈沖,圖2-lb為三角形脈沖,圖2-lc為正弦半波脈沖,但它們的面積(即沖量)都等于1,那么,當他們分別加在具有慣性的同一個環(huán)節(jié)上時,其輸出響應基本相同。脈沖越窄,其輸出的差異越小。當窄脈沖變?yōu)閳D2-ld的單位脈沖函數(shù)(t)時,環(huán)節(jié)的響應即為該環(huán)節(jié)的脈沖過渡函數(shù)。圖2-1形狀不同而脈沖相同的各種波形上述結論是PWM控制的重要理論基礎。下面分析如何用一系列等幅而不等寬的脈沖代替一個正弦半波,把圖2-2a所示的正弦半波波形分成N等份,就可把正弦半波看成由N個彼此相連的脈沖所組成的波形。這些脈沖寬度相等,都等于/N,但幅值不等,且脈沖頂部不是水平直線,而是曲線,各脈沖的幅值按正弦規(guī)律變化。如果把上述脈沖序列用同樣數(shù)量的等幅而不等寬的矩形脈沖序列代替,使矩形脈沖的中點和相應正弦等分的中點重合,且使矩形脈沖和相應正弦部分面積(沖量)相等,就得到圖2-2b所示的脈沖序列。這就是PWM波形??梢钥闯觯髅}沖的寬度是按正弦規(guī)律變化的。根據沖量相等效果相同的圖2-2(a)(b)PWM控制的基本原理示意圖原理,PWM波形和正弦半波是等效的。對于正弦波的負半周,也可以用同樣的方法得到PWM波形。像這種脈沖的寬度按正弦規(guī)律變化而和正弦波等效的PWM波形,也稱為SPWM(SinusoidalPWM)波形。在PWM波形中,各脈沖的幅值是相等的,要改變等效輸出正弦波的幅值時,只要按同一比例系數(shù)改變各脈沖的寬度即可。以上介紹的是PWM控制的基本原理,按照上述原理,在給出了正弦波頻率、幅值和半個周期內的脈沖數(shù)后,PWM波形各脈沖的寬度和間隔就可以準確計算出來。按照計算結果控制電路中各開關器件的通斷,就可以得到所需要的PWM波形。但是,這種計算是很繁瑣的,正弦波的頻率、幅值變化時,結果都要變化。較為實用的方法是采用調制的方法,即把所希望的波形作為調制信號,把接受調制的信號作為載波,通過對載波的調制得到所期望的PWM波形。通常采用等腰三角形作為載波,因為等腰三角形上下寬度與高度成線性關系且左右對稱,當它與任何一個平緩變化的調制信號波形相交時,如在交點時刻控制電路中開關器件的通斷,就可以得到寬度正比于信號波幅值的脈沖,這正好符合PWM控制的要求。當調制信號波為正弦波時,所得到的就是SPWM波形。一般根據三角波載波在半個周期內方向的變化,又可以分為兩種情況。三角波載波在半個周期內的方向只在一個方向變化,所得到的PWM波形也只在一個方向變化的控制方式稱為單極性PWM控制方式,如圖2-3所示。如果三角波載波在半個周期內的方向是在正負兩個方向變化的,所得到的PWM波形也是在兩個方向變化的,這時稱為雙極性PWM控制方式,如圖2-4所示。圖2-3單極性PWM控制方式原理圖圖2-4雙極性PWM控制方式原理2.2PWM型逆變電路的控制方式在PWM逆變電路中,載波頻率與調制信號頻率之比N=/。根據載波和信號波是否同步及載波比的變化情況,PWM逆變電路可以有異步調制和同步調制兩種控制方式。2.2.1異步調制載波信號和調制信號不保持同步關系的調制方式稱為異步方式。在異步調制方式中,調制信號頻率變化時,通常保持載波頻率固定不變,因而載波比N是變化的。這樣,在調制信號的半個周期內,輸出脈沖的個數(shù)不固定,脈沖相位也不固定,正負半周期的脈沖不對稱,同時,半周期內前后1/4周期的脈沖也不對稱。當調制信號頻率較低時,載波比N較大,半周期內的脈沖數(shù)較多,正負半周期脈沖不對稱和半周期內前后1/4周期脈沖不對稱的影響都較小,輸出波形接近正弦波。當調制信號頻率增高時,載波比N就減小,半周期內的脈沖數(shù)減少,輸出脈沖的不對稱性影響就變大,還會出現(xiàn)脈沖的跳動,同時輸出波形和正弦波之間的差異就變大,電路輸出特性變壞。因此,在采用異步調制方式時,希望盡量提高載波頻率,以使在調制信號頻率較高時仍能保持較大的載波比,改善輸出特性。2.2.2同步調制載波比N等于常數(shù),并在變頻時使載波信號和調制信號保持同步的調制方式稱為同步調制。在基本同步調制方式中,調制信號頻率變化時載波比N不變。調制信號半個周期內輸出的脈沖數(shù)是固定的,脈沖相位也是固定的。2.3SPWM波形的生成方法根據前面講述的PWM逆變電路的基本原理和控制方法,可以用模擬電路構成三角波載波和正弦調制波發(fā)生電路,用比較器來確定她們的交點,在交點時刻對功率開關器件的通斷進行控制,就可以生成SPWM波形。但這種模擬電路結構復雜,難以實現(xiàn)精確的控制?,F(xiàn)在用來產生SPWM波形的大規(guī)模集成電路芯片已得到了廣泛的應用,例如,SG3524,SG3525,HEF4752和SLE4520等這些集成芯片做適當?shù)倪B接均可以產生SPWM波形,采用集成芯片可以簡化硬件電路,降低成本,提高可靠性。另外,隨著微機控制技術的發(fā)展,使得用軟件生成SPWM波形變得比較容易,因此,目前SPWM波形的生成和控制也常采用微機來實現(xiàn)。采用軟件來生成SPWM波形的基本算法有自然采樣法、規(guī)則采樣法、低次諧波消去法。第3章逆變電源組成及主電路的設計其主電路的構成采用Boost電路(DC-DC)和全橋式逆變電路(DC-AC)的組合??刂齐娐酚?片集成脈寬調制電路芯片SG3524和一片正弦函數(shù)發(fā)生芯片ICL8038所構成。對主電路、控制電路的工作過程及相關參數(shù)的設計給出了詳細分析,并推導、給出了重要公式。3.1系統(tǒng)組成圖3-1是系統(tǒng)主電路和控制電路框圖。交流輸入電壓經過共模抑制環(huán)節(jié)后,再經過工頻變壓器降壓,然后整流得到一個直流電壓,實現(xiàn)(AC-DC)的轉換。此電壓經過BOOST電路進行升壓,在直流環(huán)上得到一個符合要求的直流電壓350V(50Hz/220V交流輸出時)。DC-AC變換電路采用全橋變換電路。為保證系統(tǒng)可靠運行,防止主電路對控制電路的干擾,采用主、控電路完全隔離的方法,即驅動信號用光藕隔離,反饋信號用變壓器隔離,輔助電源用變壓器隔離。過流保護電路采用電流互感器作為電流檢測器件,其具有足夠的響應速度,能夠在MOS管允許的過流時間內將其關斷圖3-1系統(tǒng)主電路和控制電路框圖3.2主電路組成及工作原理此主電路由以下幾個環(huán)節(jié)組成,共模抑制環(huán)節(jié),工頻變壓器,整流電路,限流電路,Boost升壓電路,橋式逆變電路和濾波電路。工作原理:輸入電壓通過共模抑制環(huán)節(jié),去掉高頻燥聲,然后工頻變壓器降壓。變壓器降壓后的電壓經整流電路得到一個直流電壓,此直流電壓的數(shù)值大概為150V,要注意的是整流后的直流電壓要先經過限流電路,以防止剛開始給電容充電時沖擊電流過大會減短電容的使用壽命(有時甚至被損壞)。同時,此直流電壓作為Boost電路的輸入,經Boost電路升壓后得到的直流環(huán)電壓大概為315伏,此電壓經橋式逆變電路,得到一系列的脈沖寬度不同的、幅值一樣的方波(即以正弦波為基波的SPWM波),然后在經過輸出濾波環(huán)節(jié),則可得到符合要求的交流輸出電壓(220V/50Hz或110V/60Hz)3.3主電路設計主要對共模抑制環(huán)節(jié),工頻變壓器,限流電路,Boost升壓電路,進行了相關的參數(shù)設計,對橋式逆變電路說明了工作原理。3.3.1共模抑制環(huán)節(jié)交流電網是電子設備的主要干擾源,絕大部分干擾均由此串入到電子設備中。傳統(tǒng)做法是電源變壓器加屏蔽、次級繞組加旁路電容、接地等來解決。對于某些精密系統(tǒng)和屏蔽室電源而言,除以上措施外,還要在交流電源輸入端加高頻扼流圈和旁路電容(見圖3-2)。該電感(,)不能加磁芯(以免飽和),而線徑要選得較粗。此外,繞組排列也不能太密集,否則,線間分布電容L1L2會形成高頻通道。一般只能做到幾十微亨,對高頻噪聲阻流作用不強。若在某些精密系統(tǒng)和屏蔽室交流電源輸入端串聯(lián)兩只空心電感來增加抗干擾能力,它們的體積一般很大,這對中小型設備是不實用的。本電源采用如下方法解決:把兩個線圈和都繞在同一只磁環(huán)上,兩組線圈匝數(shù)相同,線間排列均勻一致。用MXO-2000&18×8×5(單位為:mm)的磁環(huán)作磁芯。和繞組各繞70圈,導線線徑為0.6mm,電感量為6.6毫亨線圈外部用屏蔽層封裝,交流電源從同名端A,B輸入,從另兩端C,D送出至電子設備。由于輸入電流通過兩繞組所產生的內磁通是互相抵消的,磁芯不會飽和,而加入高導磁磁芯后,電感量大大提高(可很方便的做到毫亨級),抑制干擾能力大大增強。當然,兩跟電源線上的差分干擾信號在磁芯內所產生的磁通并不能互相抵消,但這類信號絕大部分被電容C旁路(C1為0.33uF,C2為0.47uF),危害不大。對于其它干擾信號(如共模信號),由于自電感電勢和互感電勢是相同的,如同接入高阻抗器件,故能起到普通高頻扼流圈的作用。圖3-2傳統(tǒng)扼流圈原理圖圖3-3共模抑制環(huán)節(jié)電路原理圖3.3.2工頻變壓器設計工頻變壓器的設計要求是:P=200W,一次側電壓為220V/50Hz,二次側電壓為90V/50Hz。根據設計要求,本文選擇的鐵芯型號為YEI1-40x64,此型號鐵芯的有關參數(shù)如表3-1所示:表3-1YEI1-4064型號鐵芯參數(shù)尺寸(mm)PBSc()hLHWT/×20601201102101.20.9524.32主要參數(shù)說明::窗口寬度;h:窗口高度;P:鐵芯額定功率;B:空載時的磁感應強度;Kc.鐵芯占空系數(shù);Sc.鐵芯有效截面積;(1)初、次級線圈匝數(shù)的計算由公式可計算分別為:(2)初、次級電流計算由公式可計算分別為:(3)初、次級導線截面積的計算導線的截面積和直徑可分別由式和來計算(其中)(4)核算鐵芯窗口填充系數(shù):YEI1型鐵芯的窗口截面積,St:線包漆包線的總面積。計算和的數(shù)值分別為:由窗口填充系數(shù)的公式可以得:由驗算結果可知,此變壓器的設計是合理的。3.3.3限流電路設計由圖3-1系統(tǒng)圖可知,整流電路先經過Rf(10W的陶瓷電阻)給電容C3充電,當C3的電壓上升到某一值時會使接觸器的觸點的狀態(tài)發(fā)生改變。在本次設計中,,此時,結點2的電壓為:如下圖3-4所示,由于為5V,即運算放大器OP1的輸入為5V。又運算放大器的接法是射級跟隨器形式,故其輸出電壓。這個電壓足以使光耦6N136導通,并且6N136中的晶體管處于飽和導通狀態(tài),晶體管的集電極電壓=15V-0.7V=14.3V,又OP2的接法為射一級跟隨器形式,故其輸出電壓,OP2的輸出接到節(jié)點1,節(jié)點1直接接到接觸器的線包(選用的接觸器的工作電壓為),故接觸器的工作狀態(tài)發(fā)生改變,常開觸點變?yōu)槌i]觸點,常閉觸點變?yōu)槌i_觸點,由圖3-1系統(tǒng)圖可知,此時給充電的支路已不經過限流電阻,而是由另一條支路直接充電。圖3-4限流電路控制原理圖電容的參數(shù)選擇:設網側的電壓為220V/50Hz,則經過變壓器降壓后的電壓為90V/SOHz,它的瞬時值電壓形式為:此電壓經過整流器后的瞬時電壓為:由上式可知,給充電的峰值電壓為127V,考慮到一定的裕量可選擇電容的電壓等級為200V。綜合考慮,選用了電壓等級為200V,電容值為1000uF的電解電容。3.3.4Boost變換器設計Boost變換器的別名又叫升壓變換器、并聯(lián)開關電路或開關型升壓穩(wěn)壓器。線路如圖3-5所示由開關S、電感L、電容C組成。完成把電壓升壓到的功能。Boost的工作過程是這樣的。當開關S在位置A時,圖3-6(a)電流流過電感線圈L,在電感線圈未飽和前,電流線性增加,電能以磁能形式儲在電感線圈L中。圖3-5(a)Boost電路原理圖(b)由晶體管和二極管組成的Boost電路此時,電容C放電,R上流過電流,R兩端為輸出電壓,極性上正下負。由于開關管導通,二極管陽極接Vs負極,二極管承受反壓狀態(tài)。所以電容不能通過開關管放電。開關S轉換位置到B時,構成電路如圖3-6(b),由于線圈中的磁場將改變線圈L兩端的電壓極性,以保持不變。這樣線圈L磁能轉化成的電壓與電源串聯(lián),以高于電壓。向電容C、負載R供電。高于時,電容有充電電流;等于時,充電電流為零:當有降低趨勢時,電容向負載R放電,維持不變?;据斎搿⑤敵鲭妷宏P系式的推導。設開關動作周期為Ts,D1接通時間占空比,D2為斷開時間占空比,它們各自小于1,連續(xù)狀態(tài)時+=1。則閉合時間為=,斷開時間為=。在輸入輸出電壓不變前提下,當開關S在圖3-5a)A位置時,線性上升,其增益為:圖3-6Boost變換器電路工作過程(3-1)開關在B位置時,線性下降,其增益為:(3-2)由于穩(wěn)態(tài)時這兩個電流變化量絕對值相等,所以,所以化簡得:(3-3)對Boost變換器中儲能電感L的設計:為了分析問題的方便,把主電路中Boost環(huán)節(jié)抽象出來,Boost電路的等效電阻R為250(由于此逆變電源是按照200W設計的,其等效負載為200左右,再加上橋式電路的等效電阻),其等效電路原理圖如下圖3-7所示,其中Vs=120V,Vo=315V。Boost電路工作的基本原理己經有了敘述,并且其中主要參數(shù)也都有了說明。為了方便推導計算電感的公式,現(xiàn)給出主要變量的波形如下圖3-8所示。圖3-7主電路中Boost環(huán)節(jié)等效圖圖3-8主要參數(shù)各點波形按在周期開始時是否從零開始,可分為連續(xù)工作狀態(tài)或不連續(xù)工作狀態(tài)兩種模式。在連續(xù)狀態(tài)下,輸入電流不是脈動的,紋波電流隨增大而減小。不連續(xù)工作狀態(tài),輸入電流是脈動的。所以在設計時要盡量使電路工作在連續(xù)狀態(tài),這就是設計電感時的要求。為了節(jié)省篇幅,上面只畫出了連續(xù)工作狀態(tài)時的主要工作點波形。在連續(xù)工作狀態(tài),開關周期.最后的時刻電流值,就是下一個周期中電流的開始值。但是,如果電感量太小,電流線性下降快,即在電感中能量釋放完時,尚未達到重新導通的時刻,因而能量得不到及時的補充,這樣就出現(xiàn)了電流不連續(xù)的工作狀態(tài)。在要求相同功率輸出時,此時場效應管和二極管的最大瞬時電流比連續(xù)狀態(tài)下要大,同時輸出直流電壓的紋波也增加。下面推導計算的公式。由公式(3-1)和(3-2)可得電感電流在上升時的電流增益和在下降時的電流增益分別為:按在交接處電流相等,即原則有:化簡得電壓增益為:由于忽略損耗有:故根據在連續(xù)與不連續(xù)之間的臨界狀態(tài)的條件,它們與Is的關系式為:則有,據此可推得臨界條件為:(3-4)下面進行具體的計算,由公式(3-3)可得:由Vs=120V,Vo=315V,可得:D1=0.62又f=1OkHz,可得:Ts=100us,同時R=250。將上述參數(shù)代入公式可得3.3.5橋式逆變器基本原理圖3-9顯示了橋式變換器的主電路。橋對角的兩個功率MOS管作為一組,每組同時接通或斷開,兩組開關輪流工作,在一個周期中的短時間內,四個開關將處于斷開狀態(tài)。四個開關導通(或關斷)占空比值均相等。圖3-9橋式變換器電路圖在給T1,T3加觸發(fā)脈沖,這兩個MOS管導通,電流流過T1的漏極,經過輸出濾波電路回到T3的漏極。當T2,T4加觸發(fā)脈沖時,此時T1T3的觸發(fā)脈沖消失,T2T4這兩個MOS管導通,但不能立即導通,先經過D2,D4續(xù)流,等電流下降到零時再開始導通。另外,這四個二極管還有限制過電壓的作用。3.4主電路圖通過以上對共模抑制環(huán)節(jié),工頻變壓器,限流電路,Boost升壓電路的設計,結合對本課題的設計要求,證明其設計可行。給出了以下的主電路圖:圖3-10主電路圖第4章逆變電路的控制電路設計4.1輔助電源設計小型直流穩(wěn)壓電源在當前眾多的電子設備中是用途最廣,是用量最多的一種。輔助電源設計的結構圖如下圖4-1所示:圖4-1輔助電源結構圖輔助電源的主要功能是提供控制電路及驅動電路的工作電源。輔助電源主要由一個多組抽頭的變壓器、6個集成橋塊及6個三端固定穩(wěn)壓集成塊(7805,7815及7915各一塊,7820三塊)。變壓器的原邊接主電路共模抑制環(huán)節(jié)的輸出端。副邊輸出6組電壓,分別為7V、17V及22V三個等級。這6組電壓分別經過橋式整流電路、濾波電路后輸出到三端固定集成穩(wěn)壓芯片。然后從集成穩(wěn)壓芯片輸出端就能得到符合要求的直流工作電壓。其中+5V用來共給74LS08和74LS05。15V電壓用來共給ICL8038及兩片SG3524集成芯片做工作電壓。三組++20V電壓用來共給主電路中5個MOS管的驅動電路做工作電壓的,橋式電路的上面兩個MOS管(,)各用一組,剩下的一組用來供給Boost電路中的開關管()和橋式電路下面的兩個MOS管(,),這樣使用的目的是為了使驅動電路不互相共地,減少彼此間的干擾。4.2控制電路框圖:圖4-2控制電路框圖控制電路主要實現(xiàn)以下幾個方面的功能:(1)產生低頻控制信號(本文為50Hz或60Hz正弦波)。由集成芯片ICL8038產生;(2)產生高頻載波信號(本文為10kHz三角波)。由SG3524集成脈寬調制發(fā)生器產生;(3)產生控制M1,M2,M3及M4的SPWM脈沖序列??刂齐娐饭ぷ鬟^程:由圖4-1可知,由正弦波信號(由集成芯片ICL8038產生)發(fā)生電路產生的正弦信號分兩路,一路到精密全波整流電路,經過精密全波整流后,產生饅波頭,此饅波頭再與帶有1V基準的加法器相加,得到幅值抬高了的饅波頭,即使其控制在1V-3.6V范圍內。再輸入到集成芯片SG3524,然后產生一系列經過脈沖寬度不等、幅值相等的矩形波,即經過調制后的SPWM波。另一路正弦信號到比較器,經過比較器后,產生正負半波對稱的方波,此方波和前面產生的SPWM波共同輸入到分相電路,然后產生兩路只在每個半周期內具有SPWM波的信號和再用來分別驅動橋式電路的兩對對角臂上的MOS管(中間要經過光禍隔離和驅動放大)。4.3SG3524和ICL8038芯片介紹:4.3.1SG3524芯片SG3524是一種應用極為廣泛的PWM波形發(fā)生器集成電路,最先由美國硅通公司(SiLiconGeneralComp)生產,現(xiàn)世界上許多公司都生產這種產品,如美國UNITRODG公司的UC3524、國產的CW3524(北京半導體五廠、驪山微電子研究所生產)等。其改進型性能更優(yōu)良的型號為SG3524A,該系列同樣分為軍品、工業(yè)品與民品。SG3524采用標準雙列直插式16引腳(DIP-16)集成電路封裝,其軍品與工業(yè)品型號分別為SG1524和SG2524,而民品為SG3524。它的引腳排列和內部結構如圖4-3所示。SG3524引腳說明SG3524內部框圖圖4-3SG3524引腳排列和內部框圖SG3524工作過程是這樣的:直流電源Vs.從腳15接入后分兩路,一路加到或非門;另一路送到基準電壓穩(wěn)壓器的輸入端,產生穩(wěn)定的+5V基準電壓。+5V再送到內部(或外部)電路的其他元件作為電源。振蕩器腳7須外接電容,腳6須外接電阻。振蕩器頻率f由外接電阻和電容決定,=1.18/。本次設計將Boost電路的開關頻率定為l0kHz,取=0.22uF,=5k。逆變橋開關頻率也定為l0kHz,取=0.22uF,=5k。振蕩器的輸出分為兩路:一路以時鐘脈沖形式送至雙穩(wěn)態(tài)觸發(fā)器及兩個或非門;另一路以鋸齒波形式送至比較器的同相端。比較器的反向端接誤差放大器的輸出。誤差放大器實際上是個差分放大器,1號引腳為其反向輸入端;2號引腳為其同相輸入端。一般地,一個輸入端連到16號引腳的基準電壓的分壓電阻上(應取得2.5V的電壓),另一個輸入端接控制反饋信號電壓。本系統(tǒng)電路圖中,在DC-DC變換部分,SG3524-1芯片的1號腳接控制反饋信號電壓,2號腳接在基準電壓的分壓電阻上。誤差放大器的輸出與鋸齒波電壓在比較器中進行比較,從而在比較器的輸出端出現(xiàn)一個隨誤差放大器輸出電壓高低而改變寬度的方波脈沖,再將此方波脈沖送到或非門的一個輸入端?;蚍情T的另兩個輸入端分別為雙穩(wěn)態(tài)觸發(fā)器和振蕩器鋸齒波。雙穩(wěn)態(tài)觸發(fā)器的兩個輸出端互補交替輸出高低電平,其作用是將PWM脈沖交替送至的基極,鋸齒波在此的作用是加入了死區(qū)時間,保證及兩個三極管不可能出現(xiàn)同時導通。最后,晶體管及分別輸出脈沖寬度調制波,兩者相位相差180度。當及并聯(lián)應用時,其輸出脈沖的占空比為0%-90%;當及分開使用時,輸出脈沖的占空比為0%-45%,脈沖頻率為振蕩器頻率的1/2,在本系統(tǒng)電路圖(3-1)中,兩塊SG3524都為并聯(lián)使用。當10號引腳上加高電平時,可實現(xiàn)對輸出脈沖的封鎖,可用來實現(xiàn)過流保護。4.3.2ICL8038芯片ICL8038的引腳排列和內部原理電路框圖如圖4-4所示。ICL8038由恒壓源,電壓比較器和觸發(fā)器等組成。在圖中,電壓比較器的門限電壓分別為2/3和/3(=+),電流源和的大小可通過外接電阻調節(jié),且必須大于。當觸發(fā)器的Q端輸出為低電平時,它控制開關S使電流源斷開。而電流源則向外接電容C充電,使電容兩端電壓Vc隨時間線性上升,當上升到=2/3時,比較器輸出發(fā)生跳變,使觸發(fā)器輸出Q端由低電平變?yōu)楦唠娖?,控制開關S使電流源接通。由于>,因此電容C放電,隨時間線性下降。當下降到≤/3時,比較器輸出發(fā)生跳變,使觸發(fā)器輸出端Q又由高電平變?yōu)榈碗娖?,再次斷開,再次向C充電,又隨時間線性上升。如此周而復始,產生振蕩。若=2,上升時間與下降時間相等,就產生三角波輸出到腳3。而觸發(fā)器輸出的方波,經緩沖器輸出到腳9。三角波經正弦波變換器變成正弦波后由腳2輸出。當<<2,時,Vc的上升時間與下降時間不相等,管腳3輸出鋸齒波。因此,ICL8038能輸出方波、三角波、正弦波和鋸齒波等四種不同的波形。本次設計中應用到ICL8038,主要是用來產生正弦波信號發(fā)生的,具體電路的連接方式和參數(shù)在利用SG3524生成SPWM的波中介紹(a)ICL8038引腳圖(b)ICL8038內部原理圖圖4-4ICL8038引腳圖和電路原理圖4.4控制電路設計對控制電路的芯片,驅動電路,過流保護電路,反饋調壓電路進行了相關的設計。4.4.1利用SG3524生成SPWM波形按照上述SG3524的工作原理,要得到SPWM波,必須得到一個幅值在1-3.5V,按正弦規(guī)律變化的饅頭波,將它加到SG3524-2內部,并與鋸齒波比較,就可得到正弦脈寬調制波。關鍵是正弦波信號的發(fā)生,我們設計的正弦波信號發(fā)生電路如圖4-5所示:圖4-5正弦波信號發(fā)生器正弦波電壓由函數(shù)發(fā)生器ICL8038產生。ICL8038引腳和具體的接法如圖4-5所示,正弦波的頻率由、和C來決定,=0.15/(+)C,為了調試方便,我們將、都用可調電阻,R2和R是用來調整正弦波失真度用的。在實驗中我們測得當=50Hz時,+=9.7千歐,其中C=0.22uF。正弦波信號產生后,一路經過精密全波整流,得到饅頭波(圖3-12),另一路經過比較器得到與正弦波同頻率,同相位的方波。與1V基準經過加法器后得到。輸入到SG3524-2的1號腳,2腳與9腳相連,這樣和鋸齒波將在SG3524-2內部的比較器進行比較產生SPWM波Ue。分相電路用一塊二輸入與門74LS08和一塊單輸入非門74LS05所組成。和加到分相電路后就可以得到驅動信號和,再將和加到MOS管驅動電路的光耦原邊,就可以實現(xiàn)正弦脈寬調制。4.4.2驅動電路設計本設計給出了驅動電路的簡圖,使其更明了的說明其工作原理,并繪制了驅動電路的主電路圖驅動電路工作原理驅動電路的功能一方面是保證主電路與控制電路在工作時相互隔離,另一方面是對控制電路產生的SPWM波序列進行放大,以便能驅動MOS管。設計的驅動電路簡圖如圖4-6所示。本驅動電路由驅動脈沖放大和5V基準兩部分組成。脈沖放大包括光耦Vo1,和,中間級的,推挽輸出電路和;對高頻干擾信號進行濾波的;5V基準部分包括,和,它既為MOS管提供-5V的反向電壓,又為輸入光耦提供副邊電源。圖4-6MOS管驅動電路簡圖其工作原理是:(1)當光藕原邊有控制電路的驅動脈沖電流流過時,光耦導通,使基極電位迅速下降,截止,導致導通,截止,電源通過,柵極電阻,使MOS管導通;(2)當光禍原邊無控制電路的驅動脈沖電流流過時,光藕不導通,使基極電位上升,導通,截止,MOS管柵極電荷通過,柵極電阻迅速放電,-5V偏置反壓使之可靠的關斷;(3)電阻和穩(wěn)壓管,。用以保護MOS管柵極不被過高的正、反向電壓所損壞;(4)光藕采用組合光敏管型光耦6N136,具有光敏二極管響應速度快,線性特性好,電流傳輸大的優(yōu)點,能滿足實驗的要求。驅動電路主電路圖:結合上述分析繪制了驅動電路的主電路圖及其元件的參數(shù)。圖4-7驅動電路主電路圖4.4.3過流保護電路過流保擴是利用SG3524的10腳加高電平封鎖脈沖輸出的功能。當10腳為高電平時,SG3524的腳11及腳14上輸出的脈寬調制脈沖就會立即消失而成為零。過流信號取自電流互感器(對SG3524-1芯片取在主電路中工頻變壓器的副邊,對SG3524-2芯片取在濾波電路前),經整流后得到電流信號加至如圖3-18所示過流保護電路上。過流信號加至電壓比較器LM339的同相端。當過流信

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論