




已閱讀5頁,還剩5頁未讀, 繼續(xù)免費(fèi)閱讀
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
基于eda的數(shù)字秒表設(shè)計(jì),實(shí)驗(yàn)要求,1、數(shù)字秒表主要由:分頻器、掃描顯示譯碼器、一百進(jìn)制計(jì)數(shù)器、六十進(jìn)制計(jì)數(shù)器(或十進(jìn)制計(jì)數(shù)器與6進(jìn)制計(jì)數(shù)器)、十二進(jìn)制計(jì)數(shù)器(或二十四進(jìn)制計(jì)數(shù)器)電路組成。在整個秒表中最關(guān)鍵的是如何獲得一個精確的100hz計(jì)時脈沖,除此之外,數(shù)字秒表需有清零控制端,以及啟動控制端、保持保持,以便數(shù)字時鐘能隨意停止及啟動。(時鐘50m) 2、數(shù)字秒表顯示由時(12或24進(jìn)制任選)、分(60進(jìn)制)、秒(60進(jìn)制)、百分之一秒(一百進(jìn)制)組成,利用掃描顯示譯碼電路在八個數(shù)碼管顯示。 3、能夠完成清零、啟動、保持(可以使用鍵盤或撥碼開關(guān)置數(shù))功能。 4、時、分、秒、百分之一秒顯示準(zhǔn)確。,實(shí)驗(yàn)設(shè)計(jì)提示,分頻模塊,實(shí)驗(yàn)設(shè)計(jì)提示,library ieee; use ieee.std_logic_1164.all; entity div is port(clr,clk: in bit; q: buffer bit); end div; architecture a of div is signal counter:integer range 0 to 249999; begin process(clr,clk) begin if (clk=1 and clkevent) then if clr=0 then counter=0; elsif counter=249999 then counter=0; q= not q; else counter=counter+1; end if; end if; end process; end a;,實(shí)驗(yàn)設(shè)計(jì)提示,十進(jìn)制計(jì)數(shù)器,實(shí)驗(yàn)設(shè)計(jì)提示,entity cnt10 is port(clk:in std_logic; clr:in std_logic; start:in std_logic; daout:buffer std_logic_vector(3 downto 0); co:out std_logic); end entity cnt10; architecture art of cnt10 is begin process(clk,clr,start) begin if clr=0 then daout=“0000“; elsif (clkevent and clk=1)then if (start=1)then if daout=“1001“then daout=“0000“;co=1; else daout=daout+1;co=0; end if; end if; end if; end process; end art;,實(shí)驗(yàn)設(shè)計(jì)提示,六進(jìn)制計(jì)數(shù)器,實(shí)驗(yàn)設(shè)計(jì)提示,entity cnt6 is port(clk,clr,start:in std_logic; daout:buffer std_logic_vector(3 downto 0); co:out std_logic); end entity cnt6; architecture art of cnt6 is begin process(clk,clr,start) begin if clr=0 then daout=“0000“; elsif (clkevent and clk=1)then if (start=1)then if daout=“0101“then daout=“0000“;co=1; else daout=daout+1; co=0; end if; end if; end if; end process; end art;,實(shí)驗(yàn)設(shè)計(jì)提示,顯示譯碼模塊,實(shí)驗(yàn)設(shè)計(jì)提示,entity deled is port(num:in std_logic_vector(3 downto 0); led:out std_logic_vector(6 downto 0); end deled ; architecture a of deled is begin process(num) begin case num
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 離婚協(xié)議補(bǔ)充條款法律咨詢合同
- 商業(yè)綜合體車位使用權(quán)轉(zhuǎn)讓與商業(yè)運(yùn)營協(xié)議
- 拆遷安置補(bǔ)償與社區(qū)安全合同模板
- 生態(tài)草場承包租賃管理合同范本
- 車輛保險理賠與購銷合作合同范本
- 綜合性離婚財產(chǎn)分配及子女撫養(yǎng)協(xié)議標(biāo)準(zhǔn)范本
- 水產(chǎn)養(yǎng)殖魚塘承包合同范本
- 高級采購談判技巧與合同簽訂培訓(xùn)協(xié)議
- 高端餐廳廚師聘用與廚藝競賽合作協(xié)議
- 能源采購與法務(wù)碳排放管理合同
- 2025浙江中考:政治必背知識點(diǎn)
- 2025海南中考:化學(xué)必考知識點(diǎn)
- 出國培訓(xùn)考試試題及答案
- 急性心肌梗死診斷分型及再灌注治療策略李軼課件
- 西方文化導(dǎo)論試題及答案
- 《建黨偉業(yè)》觀后感課件
- 2025年安全生產(chǎn)月主題宣貫課件
- 2025-2030中國毛衣市場調(diào)研及重點(diǎn)企業(yè)投資評估規(guī)劃分析研究報告
- 涼山州屬國有企業(yè)專業(yè)技術(shù)人員及管理人員招聘筆試真題2024
- 數(shù)學(xué)建模思想在中小學(xué)數(shù)學(xué)課堂教學(xué)中的應(yīng)用研究
- 2025年五級應(yīng)急救援員資格理論考試題庫(含答案)
評論
0/150
提交評論