基于單片機(jī)的電動(dòng)車計(jì)價(jià)器設(shè)計(jì)畢業(yè)論文_第1頁(yè)
基于單片機(jī)的電動(dòng)車計(jì)價(jià)器設(shè)計(jì)畢業(yè)論文_第2頁(yè)
基于單片機(jī)的電動(dòng)車計(jì)價(jià)器設(shè)計(jì)畢業(yè)論文_第3頁(yè)
基于單片機(jī)的電動(dòng)車計(jì)價(jià)器設(shè)計(jì)畢業(yè)論文_第4頁(yè)
基于單片機(jī)的電動(dòng)車計(jì)價(jià)器設(shè)計(jì)畢業(yè)論文_第5頁(yè)
已閱讀5頁(yè),還剩20頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 畢 業(yè) 設(shè) 計(jì)(論文)2011 屆 題 目 基于單片機(jī)的電動(dòng)車計(jì)價(jià)器 專 業(yè) 電子信息工程 學(xué)生姓名 學(xué) 號(hào) 指導(dǎo)教師 論文字?jǐn)?shù) 完成日期 2010-12-25 基于單片機(jī)的電動(dòng)車計(jì)價(jià)器摘 要:隨著人們環(huán)保意識(shí)的加強(qiáng),電動(dòng)車越來(lái)越受到人們的青睞。電動(dòng)車的普及和發(fā)展給人們提供了一種安全舒適,無(wú)噪音,無(wú)污染的生活環(huán)境。電動(dòng)車出租行業(yè)迅速發(fā)展,計(jì)價(jià)器的市場(chǎng)需求量也大大增加。本設(shè)計(jì)以at89c52 單片機(jī)為中心、附加a44e 霍爾傳感器測(cè)距,實(shí)現(xiàn)對(duì)出租車計(jì)價(jià)統(tǒng)計(jì),輸出采用lcd顯示管。本電路設(shè)計(jì)的計(jì)價(jià)器能實(shí)現(xiàn)基本的計(jì)價(jià),可以測(cè)量車輛的實(shí)時(shí)速度,算出乘客應(yīng)付的總價(jià)格,當(dāng)速度達(dá)到或超過(guò)規(guī)定的上限時(shí),會(huì)發(fā)

2、出超速警告。為了減少不安全事件的發(fā)生,利用單片機(jī)實(shí)現(xiàn)車輛的超速報(bào)警來(lái)提醒司機(jī)減速行駛,當(dāng)蓄電池里的電力接近下限時(shí),會(huì)發(fā)出警報(bào)聲。通過(guò)對(duì)汽車蓄電池電壓進(jìn)行監(jiān)測(cè),以電壓臨界值與電流變化率為指標(biāo),帶以溫度補(bǔ)償修正,當(dāng)車子蓄電池電量過(guò)低時(shí)監(jiān)測(cè)系統(tǒng)發(fā)出警報(bào)。該監(jiān)測(cè)系統(tǒng)能在車動(dòng)態(tài)時(shí)判斷發(fā)動(dòng)機(jī)能否正常啟動(dòng),發(fā)電機(jī)能否正常工作;在車靜態(tài)時(shí)能判斷蓄電池是否正常到達(dá)目的地同時(shí)在不計(jì)價(jià)的時(shí)候還能作為時(shí)鐘為司機(jī)同志提供方便。關(guān)鍵詞:at89c52,霍爾傳感器,ds1302,計(jì)價(jià)器abstract:along with increasingly of environmental awareness,electric c

3、ars are preferred by people more and more. the popularization and development of electric cars provides people a convenient and efficient vehicle which is safe, comfortable and without noise or pollution. with the rapid developing of electric car tenancy, the market demand of meter will increase a l

4、ot. our product uses at89c52 scm as the center ,additional a44e hall sensors distance measurement to realize the taxi valuation statistics,output with lcd display tube。the taximeter based on this electric circuit will not only for basic valuation statistics, to test the speed of the car and submit t

5、he total cost passenger should pay, but also can remind driver once driving limited broken. to avoid danger, the overspeed warning will remind drive to slow speed. it also will give alarm sound while the battery power reaching to lower limit. under the monitoring to the battery voltage, indexed by v

6、oltage threshold and current rate of change, with temperature compensation, the monitoring system will sound alarm when battery power is too low. this monitoring system can judge whether the engine starts and works normally when the car in the dynamic; also it can judge whether it is normal to reach

7、 the destination but also as denominated clock gives drivers comrades convenience when the car in static state.key words:at89c52,hall element,ds1302,taximeter第一章 引言1.1 研究目的和意義對(duì)于喜愛(ài)旅游的朋友來(lái)說(shuō),每到一個(gè)旅游景點(diǎn)一定不想放過(guò)每個(gè)景點(diǎn)??墒敲總€(gè)景區(qū)之間的距離一般很遠(yuǎn)。為了方便各位旅客現(xiàn)在各大旅游點(diǎn)推出一種電動(dòng)觀光車。游客在進(jìn)入景點(diǎn)的時(shí)候,到觀光車出租點(diǎn)可租借觀光車。在歸還車子是根據(jù)車上的計(jì)價(jià)器顯示的金額來(lái)付款。計(jì)價(jià)器是乘

8、客與旅游公司雙方的交易準(zhǔn)則,它關(guān)系著交易雙方的利益。具有良好性能的計(jì)價(jià)器無(wú)論是對(duì)旅游公司還是乘客來(lái)說(shuō)都是很必要的。因此,電動(dòng)車計(jì)價(jià)器的研究是十分有應(yīng)用價(jià)值的。1.2 研究現(xiàn)狀多年來(lái)國(guó)內(nèi)普遍使用的計(jì)價(jià)器只具備單一的計(jì)量功能。目前全世界的計(jì)價(jià)器中有90%為臺(tái)灣所生產(chǎn)。現(xiàn)今我國(guó)生產(chǎn)計(jì)價(jià)器的企業(yè)有上百家,主要是集中在北京,上海,沈陽(yáng)和廣州等地。我國(guó)的第一家生產(chǎn)計(jì)價(jià)器企業(yè)是重慶市起重機(jī)廠,最早的計(jì)價(jià)器全部采用機(jī)械齒輪結(jié)構(gòu),只能完成簡(jiǎn)單的計(jì)程功能,可以說(shuō)早期的計(jì)價(jià)器就是一個(gè)里程表。隨著科學(xué)技術(shù)的發(fā)展,產(chǎn)生了第二代計(jì)價(jià)器。它采用了手搖計(jì)算機(jī)與機(jī)械結(jié)構(gòu)相結(jié)合的方式,實(shí)現(xiàn)了半機(jī)械半電子化。此時(shí)它在計(jì)程的同時(shí)還可

9、以完成計(jì)價(jià)的工作。大規(guī)模集成電路的發(fā)展又產(chǎn)生了第三代計(jì)價(jià)器,也就是全電子化的計(jì)價(jià)器。它的功能也在不斷完善。當(dāng)單片機(jī)出現(xiàn)并應(yīng)用于計(jì)價(jià)器后,它可以完成計(jì)程,計(jì)價(jià),顯示等基本工作。單片機(jī)以及外圍芯片的不斷發(fā)展促進(jìn)了計(jì)價(jià)器的發(fā)展。出租車計(jì)價(jià)器在最初使用時(shí)具備的主要功能是根據(jù)行駛里程計(jì)價(jià),要求精度高,可靠性好。我國(guó)在70年代開始出現(xiàn)計(jì)價(jià)器,但那時(shí)的計(jì)費(fèi)系統(tǒng)大都是國(guó)外進(jìn)口不但不夠準(zhǔn)確,價(jià)格還十分昂貴。隨著改革開放日益深入,國(guó)內(nèi)各機(jī)械廠家紛紛推出國(guó)產(chǎn)計(jì)價(jià)器。計(jì)價(jià)器的功能從剛開始的只顯示路程(需要人為自己定價(jià),計(jì)算后四舍五入),到能夠自主計(jì)費(fèi),以及現(xiàn)在的能夠打印發(fā)票和語(yǔ)音提示、按時(shí)間自主變動(dòng)單價(jià)等功能。本次設(shè)

10、計(jì)的目的在于設(shè)計(jì)出現(xiàn)在各大中城市都已普及的自動(dòng)計(jì)價(jià)器,而部分小城市尚未普及,計(jì)價(jià)器的普及也是毫無(wú)疑問(wèn)的,所以未來(lái)計(jì)價(jià)器的市場(chǎng)還是十分有潛力的。1.3 發(fā)展趨勢(shì)當(dāng)前計(jì)價(jià)器能完成普通的計(jì)價(jià)、計(jì)行駛里程、乘車時(shí)間、等待時(shí)間等功能,但是卻存在諸多方面的問(wèn)題,具體而言在以下兩個(gè)方面尤其需要進(jìn)行改進(jìn)。(1)增強(qiáng)抗干擾性。出租車由于頻繁使用或損壞,其電路設(shè)備都需要頻繁更換,從而引起車體電磁場(chǎng)的變化,影響計(jì)價(jià)器正常工作。(2)增強(qiáng)掉電保護(hù)功能。當(dāng)電瓶蓄電功能下降、電路存在接觸不良等情況,致使在運(yùn)行過(guò)程中或停車后計(jì)價(jià)器出現(xiàn)重啟或者掉電,當(dāng)前乘車數(shù)據(jù)全部丟失,引起糾紛。當(dāng)單片機(jī)出現(xiàn)并應(yīng)用于計(jì)價(jià)器后,現(xiàn)代出租車計(jì)價(jià)

11、器的模型也就基本具備了,它可以完成計(jì)程,計(jì)價(jià),顯示等基本工作。單片機(jī)以及外圍芯片的不斷發(fā)展促進(jìn)了計(jì)價(jià)器的發(fā)展。隨著單片機(jī)性能不斷提高而價(jià)格卻不斷下降,單片機(jī)控制得到更廣泛的應(yīng)用,外圍芯片的不斷發(fā)展,使得計(jì)價(jià)器的功能更加強(qiáng)大,性能更加穩(wěn)定。隨著電子技術(shù)的發(fā)展以及對(duì)計(jì)價(jià)器的不斷改進(jìn)和完善,便產(chǎn)生了諸多的附加功能。例如:(1)lcd 顯示功能,液晶屏的使用讓計(jì)價(jià)器實(shí)現(xiàn)多屏顯示的功能,可同時(shí)顯示各項(xiàng)營(yíng)運(yùn)數(shù)據(jù),使乘客一目了然;(2)時(shí)鐘功能,在非營(yíng)運(yùn)狀態(tài)下,日歷時(shí)鐘芯片的使用使計(jì)價(jià)器可以顯示永久時(shí)鐘;在許多數(shù)據(jù)采集環(huán)境中,都需要有實(shí)時(shí)時(shí)鐘功能,以便存儲(chǔ)在數(shù)據(jù)庫(kù)中的數(shù)據(jù)可以按照采集時(shí)間進(jìn)行查詢。一般的時(shí)鐘

12、芯片在系統(tǒng)掉電時(shí)時(shí)鐘芯的數(shù)據(jù)會(huì)丟失,需要提供備用電池。dsl2c887時(shí)鐘芯片克服了上述芯片的缺陷,芯片內(nèi)部自帶有鋰電池,其內(nèi)部時(shí)間信息能夠保持10a之久。同時(shí),dsl2c887具有定時(shí)精度高、掉電保護(hù)、功能強(qiáng)大、使用靈活和誤差較小等優(yōu)點(diǎn),日益受到用戶的青睞。(3)存儲(chǔ)功能,可存儲(chǔ)多項(xiàng)營(yíng)運(yùn)數(shù)據(jù),便于查詢。新型數(shù)據(jù)存儲(chǔ)器的應(yīng)用使得計(jì)價(jià)器的營(yíng)運(yùn)數(shù)據(jù)在掉電情況下還可以保存10年;長(zhǎng)期以來(lái),以flash memory為存儲(chǔ)體的sd卡因具 備體積小、功耗低、可擦寫以及非易失性等特點(diǎn)而被廣泛應(yīng)用于消費(fèi)類電子產(chǎn)品中。特別是近年來(lái),隨著價(jià)格不斷下降且存儲(chǔ)容量不斷提高它的應(yīng)用范圍日益增廣。sd卡已經(jīng)成為大容量數(shù)

13、據(jù)存儲(chǔ)器設(shè)計(jì)的首選,具有無(wú)可比擬的優(yōu)勢(shì)。當(dāng)數(shù)據(jù)采集系統(tǒng)需要長(zhǎng)時(shí)間地采集、記錄海量數(shù)據(jù)時(shí),選擇sd卡作為存儲(chǔ)媒質(zhì)是開發(fā)者們一個(gè)很好的選擇。(4)語(yǔ)音功能,在原來(lái)計(jì)價(jià)器的基礎(chǔ)上,增加了一塊語(yǔ)音報(bào)話器,并將其內(nèi)置于中。這樣,使該出租車計(jì)價(jià)器更具人性化,更能給人們的乘車帶來(lái)方便和舒適,不僅可以大大提高出租車行業(yè)的服務(wù)質(zhì)量,提升城市形象。1.4主要研究?jī)?nèi)容 本系統(tǒng)設(shè)計(jì)制作一個(gè)基于單片機(jī)的計(jì)程車計(jì)價(jià)系統(tǒng)。配合獨(dú)立鍵盤,液晶顯示屏,霍爾傳感器來(lái)完成電動(dòng)車計(jì)價(jià)器的計(jì)費(fèi),記時(shí)功能,超速報(bào)警,以及能量下限報(bào)警。只要在車子一開動(dòng)計(jì)價(jià)器就開始工作,隨著行駛里程的增加,就會(huì)看到車子前面的計(jì)價(jià)器里程數(shù)字在液晶屏里的讀數(shù)從

14、零逐漸增大,而當(dāng)行駛到某一值時(shí)(如5km為起步價(jià)錢)計(jì)費(fèi)數(shù)字顯示開始從起步價(jià)(如6元為起步價(jià)錢)增加。當(dāng)車子到達(dá)某地需要在那里等候時(shí),駕駛員只要按一下“計(jì)時(shí)”鍵,每等候一定時(shí)間,計(jì)費(fèi)顯示就增加一個(gè)該收的等候費(fèi)用。車?yán)^續(xù)行駛時(shí),停止計(jì)算等候費(fèi),繼續(xù)增加里程計(jì)費(fèi)。當(dāng)車子速度達(dá)到或超過(guò)規(guī)定的上限時(shí),會(huì)發(fā)出超速警告。為了減少不安全事件的發(fā)生,利用單片機(jī)實(shí)現(xiàn)車輛的超速報(bào)警來(lái)提醒司機(jī)減速行駛。當(dāng)蓄電池里的電力接近下限時(shí),會(huì)發(fā)出警報(bào)聲提醒司機(jī)要對(duì)車子進(jìn)行充電。該功能是通過(guò)對(duì)汽車蓄電池電壓進(jìn)行監(jiān)測(cè),以電壓臨界值與電流變化率為指標(biāo),帶以溫度補(bǔ)償修正,當(dāng)汽車蓄電池電量過(guò)低時(shí)監(jiān)測(cè)系統(tǒng)發(fā)出警報(bào)。該監(jiān)測(cè)系統(tǒng)能在汽車動(dòng)態(tài)

15、時(shí)判斷發(fā)動(dòng)機(jī)能否正常啟動(dòng),發(fā)電機(jī)能否正常工作;在汽車靜態(tài)時(shí)能判斷蓄電池是否正常到達(dá)目的地。電動(dòng)車計(jì)價(jià)器的運(yùn)作過(guò)程是由一個(gè)單片機(jī)進(jìn)行控制,軟硬件結(jié)合最后直觀地將數(shù)據(jù)顯示給乘客看到。一般計(jì)費(fèi)標(biāo)準(zhǔn)中的起步價(jià),每公里價(jià)格都是默認(rèn)地在程序中預(yù)先設(shè)定好并存儲(chǔ)到主控制器中,在啟動(dòng)運(yùn)行過(guò)程中,系統(tǒng)將車輪轉(zhuǎn)動(dòng)的速度送入到主控制器中,主控制器的運(yùn)算模塊根據(jù)車輪轉(zhuǎn)速轉(zhuǎn)化為對(duì)應(yīng)時(shí)間的行程,再結(jié)合起步價(jià)及每公里價(jià)格就可以計(jì)算出目前的車費(fèi),而這些數(shù)據(jù)會(huì)由主控制器的顯示模塊送入到顯示屏上。怎樣獲取到車輪的即時(shí)轉(zhuǎn)速是最關(guān)鍵的問(wèn)題,一般的在車輪上都會(huì)附有一塊磁鐵,在靠近車輪附近的車軸上附上一塊霍爾傳感器,當(dāng)車輪轉(zhuǎn)動(dòng)時(shí),車輪上的

16、磁鐵就會(huì)不斷地靠近和遠(yuǎn)離霍爾傳感器,這時(shí)霍爾傳感器受磁場(chǎng)感應(yīng)產(chǎn)生脈沖,當(dāng)車輪轉(zhuǎn)動(dòng)一圈時(shí)霍爾便產(chǎn)生一個(gè)脈沖送入主控制器,主控制器對(duì)接收到的脈沖進(jìn)行計(jì)數(shù),由于車輪的周長(zhǎng)已知,便可根據(jù)脈沖個(gè)數(shù)以及車輪周長(zhǎng)算出一定時(shí)間內(nèi)行駛里程數(shù)。總的來(lái)說(shuō)能實(shí)現(xiàn)以下幾種功能:(1)鍵盤掃描,通過(guò)單片機(jī)檢測(cè)用戶按下的是哪個(gè)按鍵并執(zhí)行相應(yīng)的功能。(2)通過(guò)霍爾傳感器檢測(cè)計(jì)程車的實(shí)時(shí)速度以及走過(guò)的,并在lcd1602上顯示。(3)用戶可以通過(guò)按鍵設(shè)置頭三公里的起步價(jià),和三公里后每公里的價(jià)格,最終會(huì)在顯示屏上顯示。(4)系統(tǒng)還帶有時(shí)鐘顯示,能實(shí)時(shí)顯示時(shí)間,能調(diào)節(jié)時(shí)間。(5)系統(tǒng)還帶有超速報(bào)警,門限速度可以進(jìn)行設(shè)置。(6)當(dāng)蓄

17、電池里的電力接近能量下限時(shí)會(huì)發(fā)出警報(bào)聲。1.5 主要工作該系統(tǒng)由at89c52單片機(jī)控制實(shí)現(xiàn)的,通過(guò)按鍵可以設(shè)定起步公里數(shù)以及起步價(jià)錢,然后通過(guò)lcd顯示屏顯示實(shí)時(shí)的速度與金額。課題研究的內(nèi)容主要有以下幾點(diǎn):(1)研究單片機(jī)的工作原理。(2)研究lcd顯示屏顯示的工作原理。(3)研究霍爾傳感器的工作原理。(4)研究系統(tǒng)按鍵、顯示、報(bào)警等模塊電路的設(shè)計(jì)及其與單片機(jī)接口電路設(shè)計(jì)(5)研究系統(tǒng)整體硬件電路及其程序設(shè)計(jì)第二章 總體方案論證與介紹2.1 模塊分類本系統(tǒng)以單片機(jī)為控制核心,對(duì)系統(tǒng)進(jìn)行初始化,主要完成對(duì)霍爾傳感器接收模塊、液晶顯示、時(shí)鐘模塊、按鍵模塊等功能的控制,起到總控和協(xié)調(diào)各模塊之間工作

18、的作用,單片機(jī)通過(guò)驅(qū)動(dòng)蜂鳴器發(fā)響聲以報(bào)警。本設(shè)計(jì)可分為以下模塊:主控模塊、顯示模塊、測(cè)速模塊、報(bào)警模塊、時(shí)鐘模塊、按鍵模塊。下面對(duì)各個(gè)模塊的設(shè)計(jì)方案逐一進(jìn)行論證分析。本系統(tǒng)結(jié)構(gòu)如圖2-1所示,圖2-1系統(tǒng)結(jié)構(gòu)框圖2.2模塊選型(一) 主控模塊目前,在自動(dòng)控制中,一般有三種選擇,分別是嵌入式微機(jī)、dsp(digital signal processor,數(shù)字信號(hào)處理器)和單片機(jī)。單片機(jī)最明顯的優(yōu)點(diǎn)是價(jià)格便宜,從幾元人民幣到幾十元人民幣。這是因?yàn)檫@類芯片的生產(chǎn)量很大,技術(shù)也很成熟。其次,單片機(jī)的體積也遠(yuǎn)小于其他兩種方案。單片機(jī)本身一般用40引腳封裝,當(dāng)然功能多一些的單片機(jī)也有引腳比較多的,如68引

19、腳,功能少的只有10多個(gè)或20多個(gè)引腳,有的甚至只有8只引腳。當(dāng)然,單片機(jī)無(wú)論在速度還是容量方面都小于其他兩種方案,但是在實(shí)際工作中并不是任何需要計(jì)算機(jī)的場(chǎng)合都要求計(jì)算機(jī)有很高的性能。例如,控制電冰箱的控制器就不需要使用嵌入式系統(tǒng),用一片52單片機(jī)就可以輕松實(shí)現(xiàn)。所以應(yīng)用的關(guān)鍵是看能否夠用,是否有很好的性能價(jià)格比。52系列的單片機(jī)是51系列單片機(jī)的增強(qiáng)型已經(jīng)面世多年,依然沒(méi)有被淘汰,還在不斷發(fā)展中,這就說(shuō)明是他有廣闊的應(yīng)用前景。 本系統(tǒng)采用單片機(jī)為控制核心,單片機(jī)是一種集成在電路芯片,是采用超大規(guī)模集成電路技術(shù)把具有數(shù)據(jù)處理能力的中央處理器cpu隨機(jī)存儲(chǔ)器ram、只讀存儲(chǔ)器rom、多種i/o口

20、和中斷系統(tǒng)、定時(shí)器/計(jì)時(shí)器等功能(可能還包括顯示驅(qū)動(dòng)電路、脈寬調(diào)制電路、模擬多路轉(zhuǎn)換器、a/d轉(zhuǎn)換器等電路)集成到一塊硅片上構(gòu)成的一個(gè)小而完善的計(jì)算機(jī)系統(tǒng)。主要是單片機(jī)的特點(diǎn):(1) 集成度高、體積小。單片機(jī)、cpu、存儲(chǔ)器、i/o 接口等各種功能部件集成在一塊晶體芯片上,體積小,節(jié)省空間。能靈活,方便地應(yīng)用于各種智能化的控制設(shè)備和儀器,實(shí)現(xiàn)機(jī)電一體化。 (2) 可靠性高,抗干擾性強(qiáng)。 單片機(jī)把各種功能部件集成在一塊芯片上,內(nèi)部采用總線結(jié)構(gòu),減少了各芯片之間的連線,大大提高了單片機(jī)的可靠性與抗干擾能力。另外,其體積小,對(duì)于強(qiáng)磁場(chǎng)環(huán)境易于采取屏蔽措施,適合在惡劣環(huán)境下 (3) 低功耗。許多單片機(jī)

21、的工作電壓只有 24 伏特,電流幾百微安,功耗很低,適用于便 攜式系統(tǒng) (4) 控制功能強(qiáng)。cpu 可以對(duì) i/o 端口直接進(jìn)行操作,可以進(jìn)行位操作、分支轉(zhuǎn)移操作,還能方便地實(shí)現(xiàn)多機(jī)控制,使整個(gè)系統(tǒng)的控制效率大為提高,適用于專門的控制領(lǐng)域。 (5) 可擴(kuò)展性好。單片機(jī)具有靈活方便的外部擴(kuò)展總線接口,使得當(dāng)片內(nèi)資源不夠使用時(shí)可以非常方便地進(jìn)行片外擴(kuò)展。另外,現(xiàn)在單片機(jī)具有越來(lái)越豐富的通信接口:如異步串行口 sci、同步串行口 spi、i2c、can 總線、甚至有的單片機(jī)還集成了 usb 接口或以太網(wǎng)接口,這些豐富的通信接口使得單片機(jī)系統(tǒng)與外部計(jì)算機(jī)系統(tǒng)的通信變得非常容易。(6) 性價(jià)比高 單片機(jī)

22、應(yīng)用廣泛,生產(chǎn)批量大,產(chǎn)品供應(yīng)商的商業(yè)競(jìng)爭(zhēng)使得單片機(jī)產(chǎn)品的性 能越來(lái)越強(qiáng)而價(jià)格低廉,有優(yōu)異的性能價(jià)格比。 方案一:我們選用市場(chǎng)最常見(jiàn)的 at89s52 單片機(jī)為此設(shè)計(jì)的核心部分,at89s52 單片機(jī)是 低功耗,高性能 cmos 8 位單片機(jī),片內(nèi)含 8k bytes 的可系統(tǒng)編程的 flash 只讀程序存儲(chǔ)器, 器件采用高密度,非易失性存儲(chǔ)技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn) 8051 指令系統(tǒng)及引腳。它集 flash 程序 存儲(chǔ)器,既可在線編程(isp)也可用傳統(tǒng)方法進(jìn)行編程及通用 8 位微處理器于單片芯片中, 功能強(qiáng)大,低價(jià) at89s52 單片機(jī)可靈活應(yīng)用于各種控制領(lǐng)域。 方案二:采用凌陽(yáng)的 spce0

23、61a 單片機(jī)作為控制器。spce061a 凌陽(yáng)單片機(jī)具有強(qiáng)大的十六位微處理器, 32 個(gè) i/o 口;32k flash 存儲(chǔ)空間,具有掉電不丟失的優(yōu)點(diǎn);口輸出 pwm 控制信號(hào)。它具有體積小、集成度高、可靠性高且易于擴(kuò)展的特點(diǎn)。但是,凌陽(yáng)的 spce061a 單片機(jī)性價(jià)比較低,由于其占用的 cpu 較多而使得凌陽(yáng)單片機(jī)同時(shí)處理其他任務(wù)的速度和能力降低。綜合考慮方案一為本次設(shè)計(jì)最佳方案,所以我們選擇方案一。(二)顯示模塊 顯示器是人與機(jī)器溝通重要的界面。顯示器的發(fā)展走到今天,從單色到彩色,從模糊到清晰,從小到大,歷經(jīng)無(wú)數(shù)的變化。各個(gè)廠商不斷的改進(jìn)和完善顯示器的生產(chǎn)技術(shù),以求其產(chǎn)品能夠適應(yīng)消費(fèi)

24、者日趨變化的消費(fèi)心理和消費(fèi)行為。 方案一:采用led 數(shù)碼管顯示。它是一種通過(guò)控制半導(dǎo)體發(fā)光二極管的顯示方式,用來(lái)顯示文字、圖形、圖像、動(dòng)畫、行情、視頻、錄像信號(hào)等各種信息的顯示屏幕。雖然只能顯示非常有限的符號(hào)和數(shù)字,但數(shù)碼管顏色鮮艷,易于觀察,可實(shí)時(shí)動(dòng)態(tài)顯示,最多可驅(qū)動(dòng)32位,完全滿足本設(shè)計(jì)數(shù)字顯示要求,且編程簡(jiǎn)單、成本低。在單片機(jī)的應(yīng)用中,數(shù)碼管顯示器常采用兩種顯示方法:靜態(tài)顯示和動(dòng)態(tài)掃描。在顯示位數(shù)較多時(shí)單片機(jī)中i/o 口的開銷很大,需要提供的i/o 接口電路也較復(fù)雜,功耗比較大,掃描消耗資源比較多,占用cpu的時(shí)間多,反應(yīng)不靈敏。 方案二:采用lcd液晶顯示。機(jī)身薄,占地小,輻射小,給

25、人以一種健康產(chǎn)品的形象。省電,不產(chǎn)生高溫它屬于低耗電產(chǎn)品,可以做到完全不發(fā)燙,無(wú)輻射,畫面柔和不傷眼。 綜合考慮方案二為本次設(shè)計(jì)最佳方案,所以我們選擇方案二。(三)測(cè)速模塊方案一:采用霍爾傳感器集成芯片a44e。霍爾器件是一種磁傳感器。用它們可以檢測(cè)磁場(chǎng)及磁場(chǎng)的變化,可在與磁場(chǎng)有關(guān)的各種場(chǎng)合中使用?;魻柶骷且曰魻栃?yīng)為工作基礎(chǔ)的霍爾器件有很多優(yōu)點(diǎn),結(jié)構(gòu)牢固、體積小、重量輕、壽命長(zhǎng)、安裝方便、功耗小、頻率高、耐震動(dòng)、不怕灰塵、油污、水汽及鹽霧等的污染或腐蝕。方案二:采用光電傳感器產(chǎn)生脈沖通過(guò) 74hc14 非門電路發(fā)送給單片機(jī)。光電檢測(cè)方法具有精度高、反應(yīng)快、非接觸等優(yōu)點(diǎn),而且可測(cè)參數(shù)多,傳感

26、器的結(jié)構(gòu)簡(jiǎn)單,形式靈活多樣,因此,光電式傳感器在檢測(cè)和控制中應(yīng)用非常廣泛。光電傳感器將上下沿較緩或奇變較大的數(shù)字信號(hào)變?yōu)榉讲ㄐ问揭岳麛?shù)字信號(hào)接收電路識(shí)別.比如一串高頻數(shù)字信號(hào)方波經(jīng)過(guò)一個(gè)并普通光耦后波形可能奇變的后級(jí)不能識(shí)別,所以還需加一個(gè)74hc14進(jìn)行整形。方案分析:霍爾元件是一種磁傳感器,能跟磁性物質(zhì)組成曲線,成本低。光電傳感器采用一體化結(jié)構(gòu),利用黑線白線反射光的強(qiáng)弱進(jìn)行判斷,靈敏度高,體積小,但是成本高且比較麻煩。經(jīng)過(guò)綜合比較分析我們選用方案一。(四)報(bào)警模塊 方案一:考慮到計(jì)價(jià)器的微型化、智能化,選用了集成度較高的 syn6288 語(yǔ)音芯片,其最 大特點(diǎn)是通過(guò)異步串口(uart)通信

27、方式從單片機(jī)自動(dòng)讀出顯示的數(shù)據(jù),其接口簡(jiǎn)單,低功耗,音色清亮圓潤(rùn),極高的性價(jià)比。 方案二:采用 isd1420 芯片,此芯片具有優(yōu)質(zhì)單片語(yǔ)音錄放電路,但是此芯片沒(méi)有自動(dòng)讀取顯示播放的功能,不適合我們?cè)O(shè)計(jì)的作品。 方案三:采用蜂鳴器,蜂鳴器是一種一體化結(jié)構(gòu)的電子訊響器,采用直流電壓供電,廣泛應(yīng)用于計(jì)算機(jī)、打印機(jī)、復(fù)印機(jī)、報(bào)警器、電子玩具、汽車電子設(shè)備、電話機(jī)、定時(shí)器等電子產(chǎn)品中作發(fā)聲器件。經(jīng)過(guò)綜合比較分析我們選用方案三。(五)時(shí)鐘模塊 方案一:采用時(shí)鐘芯片 pcf8563。 pcf8563 是 philips 公司推出的一款工業(yè)級(jí)內(nèi)含 i2c 總線接口功能的具有極低功耗的 多功能時(shí)鐘/日歷芯片。

28、pcf8563 的多種報(bào)警功能、定時(shí)器功能、時(shí)鐘輸出功能以及中斷輸出 功能能完成各種復(fù)雜的定時(shí)服務(wù),甚至可為單片機(jī)提供看門狗功能。內(nèi)部時(shí)鐘電路、內(nèi)部振 蕩電路、內(nèi)部低電壓檢測(cè)電路 1.0v 以及兩線制 i2c 總線通訊方式,不但使外圍電路及其簡(jiǎn) 潔,而且也增加了芯片的可靠性。 方案二:一種高性能、低功耗、帶ram的實(shí)時(shí)時(shí)鐘電路,它可以對(duì)年、月、日、周日、時(shí)、分、秒進(jìn)行計(jì)時(shí),具有閏年補(bǔ)償功能,工作電壓為2.5v5.5v。采用三線接口與cpu進(jìn)行同步通信,并可采用突發(fā)方式一次傳送多個(gè)字節(jié)的時(shí)鐘信號(hào)或ram數(shù)據(jù)。ds1302內(nèi)部有一個(gè)318的用于臨時(shí)性存放數(shù)據(jù)的ram寄存器。ds1302是ds120

29、2的升級(jí)產(chǎn)品,與ds1202兼容,但增加了主電源/后備電源雙電源引腳,同時(shí)提供了對(duì)后備電源進(jìn)行涓細(xì)電流充電的能力。經(jīng)過(guò)綜合比較分析我們選用方案二。2.3重要元器件介紹(1)at89c52at89c52單片機(jī)最初是由intel 公司開發(fā)設(shè)計(jì)的,但后來(lái)intel 公司把51 核的設(shè)計(jì)方案賣給了幾家大的電子設(shè)計(jì)生產(chǎn)商,譬如 sst、philip、atmel 等大公司。如是市面上出現(xiàn)了各式各樣的但均以51 為內(nèi)核的單片機(jī),倒是intel 公司自己的單片機(jī)卻顯得遜色了。這些各大電子生產(chǎn)商推出的單片機(jī)都兼容51 指令、并在51 的基礎(chǔ)上擴(kuò)展一些功能而內(nèi)部結(jié)構(gòu)是與51 一致的。at89c52有40個(gè)引腳,4

30、個(gè)8位并行i/o口,1個(gè)全雙工異步串行口,同時(shí)內(nèi)含5個(gè)中斷源,2個(gè)優(yōu)先級(jí),2個(gè)16位定時(shí)/計(jì)數(shù)器。stc89c52的存儲(chǔ)器系統(tǒng)由4k的程序存儲(chǔ)器(掩膜rom),和128b的數(shù)據(jù)存儲(chǔ)器(ram)組成。stc89c52單片機(jī)的基本組成框圖見(jiàn)圖2-2。圖2-2 stc89c52單片機(jī)組成框圖stc89c52單片機(jī)主要特性1. 一個(gè)8 位的微處理器(cpu)。2. 片內(nèi)數(shù)據(jù)存儲(chǔ)器ram有128b的空間,可以用來(lái)存放可以讀寫的數(shù)據(jù),比如運(yùn)算的中間結(jié)果,最終的結(jié)果及欲顯示的數(shù)據(jù)等,但sst89 系列的單片機(jī)最多可提供1k 的ram空間。3. 片內(nèi)的程序存儲(chǔ)器rom有4kb的空間,是用來(lái)存放程序的一些原始的

31、數(shù)據(jù)表格。但也有一些單片機(jī)內(nèi)部是不帶rom/eprom,如8031,8032,80c31 等。目前的單片機(jī)發(fā)展趨勢(shì)是把數(shù)據(jù)存儲(chǔ)器ram 和程序存儲(chǔ)器rom 都集成在單片機(jī)上,這樣可以方便了用戶進(jìn)行設(shè)計(jì)也提高了系統(tǒng)抗干擾性。sst 公司推出89 系列單片機(jī)分別有集成了16k、32k、64k flash 存儲(chǔ)器,可根據(jù)需要選用。4. 四個(gè)8 位的并行io接口p0p3,每個(gè)接口可以當(dāng)作輸入,也當(dāng)作輸出。5. 兩個(gè)定時(shí)器計(jì)數(shù)器。每個(gè)定時(shí)器計(jì)數(shù)器都可以設(shè)置計(jì)數(shù)方式,用來(lái)對(duì)外部事件進(jìn)行計(jì)數(shù),當(dāng)然也可以設(shè)置定時(shí)方式。并可以根據(jù)計(jì)數(shù)或定時(shí)結(jié)果實(shí)現(xiàn)控制。為了方便設(shè)計(jì)的串行通信,目前的52 系列的單片機(jī)一般都會(huì)提

32、供3 個(gè)16 位的定時(shí)器/計(jì)數(shù)器。6. 五個(gè)中斷源中斷控制?,F(xiàn)在新推出的單片機(jī)都不只5 個(gè)中斷源,例如sst89e58rd 就有9 個(gè)中斷源。7. 片內(nèi)的振蕩器與時(shí)鐘電路。石英晶體,微調(diào)電容都需要外接。最高允許振蕩頻率為12mhz。sst89v58rd 最高允許的振蕩頻率可達(dá)40mhz,因而大大的提高了指令的執(zhí)行速度。(2)lcd1602液晶顯示液晶是一種既具有液體的流動(dòng)性又具有光學(xué)特性的有機(jī)化合物,它的透明程度和呈現(xiàn)的顏色受外加電場(chǎng)的影響,利用這特點(diǎn)便可做成字符顯示器。液晶屏顯示器(lcd)的英文全稱liquid crystal display。它是一種采用液晶控制的透光度技術(shù)實(shí)現(xiàn)色彩顯示器

33、。與crt顯示器相比較,lcd優(yōu)點(diǎn)是很明顯。通過(guò)是否透光來(lái)控制亮和暗。色彩不變的時(shí)候,液晶也是保持不變的,這樣就不用考慮刷新率的問(wèn)題。顯示接口用來(lái)顯示系統(tǒng)的狀態(tài),命令或采集的電壓數(shù)據(jù)。本系統(tǒng)顯示部分用的是lcd1602液晶模塊,采用一個(gè)161的字符型液晶顯示模塊。 lcd1602液晶模塊是采用hd44780控制器。hd44780具有簡(jiǎn)單而且功能較強(qiáng)的指令集,也可以實(shí)現(xiàn)字符移動(dòng)和閃爍等功能。一般采用8位或4位并行傳輸兩種方式在lm016l與單片機(jī)mcu通訊之間,hd44780控制器是由兩個(gè)8位的寄存器、指令寄存器(ir)、數(shù)據(jù)寄存器(dr)、忙標(biāo)志(bf)、顯示數(shù)ram(ddram)、字符發(fā)生器

34、roma(cgorom)、字符發(fā)生器ram(cgram)、地址計(jì)數(shù)器ram(ac)。ir是用于寄存指令碼,只能寫入但不能讀出,dr是用于寄存數(shù)據(jù),數(shù)據(jù)由內(nèi)部操作,自動(dòng)寫入ddram和cgram?;蛘邥簳r(shí)存儲(chǔ)從ddram和cgram讀出數(shù)據(jù)。當(dāng)bf為1時(shí),液晶模塊是處于內(nèi)部模式,是不響應(yīng)外部的操作指令和接受數(shù)據(jù)的,ddtam用來(lái)存儲(chǔ)顯示的字符,能存儲(chǔ)80個(gè)字符碼,cgrom由8位字符碼生成5*7點(diǎn)陣字符160中和5*10點(diǎn)陣字符32種8位字符編碼和字符的對(duì)應(yīng)關(guān)系。lcd1602引腳介紹:vss(1號(hào)引腳):一般接地。vdd(2號(hào)引腳):接電源。vee(3號(hào)引腳):液晶顯示器對(duì)比度的調(diào)整端,在接正

35、電源時(shí)的對(duì)比度最弱,接地時(shí)對(duì)比度最高(對(duì)比度過(guò)高時(shí)會(huì)產(chǎn)生“鬼影”,使用時(shí)可以通過(guò)一個(gè)10k的電位器調(diào)整對(duì)比度)。rs(4號(hào)引腳):rs是寄存器選擇,高電平1時(shí)選擇數(shù)據(jù)寄存器,低電平0時(shí)選擇指令寄存器。r/w(5腳):r/w為讀寫信號(hào)線,高電平(1)時(shí)進(jìn)行讀操作,低電平(0)時(shí)進(jìn)行寫操作。e(6腳):e(或en)端為使能(enable)端,下降沿使能。db0(7腳):底4位三態(tài)、 雙向數(shù)據(jù)總線 0位(最低位)。db1(8腳):底4位三態(tài)、 雙向數(shù)據(jù)總線 1位。db2(9腳):底4位三態(tài)、 雙向數(shù)據(jù)總線 2位。db3(10腳):底4位三態(tài)、 雙向數(shù)據(jù)總線 3位。db4(11腳):高4位三態(tài)、 雙向

36、數(shù)據(jù)總線 4位。db5(12腳):高4位三態(tài)、 雙向數(shù)據(jù)總線 5位。db6(13腳):高4位三態(tài)、 雙向數(shù)據(jù)總線 6位。db7(14腳):高4位三態(tài)、 雙向數(shù)據(jù)總線 7位(最高位)(也是busy flang)。寄存器選擇控制如表2-1。rsr/w操作說(shuō)明00寫入指令寄存器(清除屏等)01讀busy flag(db7),以及讀取位址計(jì)數(shù)器(db0db6)值10寫入數(shù)據(jù)寄存器(顯示各字型等)11從數(shù)據(jù)寄存器讀取數(shù)據(jù)表2-1寄存器選擇控制(3)霍爾傳感器本次設(shè)計(jì)選取了霍爾傳感器來(lái)進(jìn)行里程檢測(cè)?;魻柶骷且环N磁傳感器。用它們可以檢測(cè)磁場(chǎng)及磁場(chǎng)的變化,可在與磁場(chǎng)有關(guān)的各種場(chǎng)合中使用?;魻柶骷且曰魻栃?yīng)

37、為工作基礎(chǔ)的霍爾器件有很多優(yōu)點(diǎn),結(jié)構(gòu)牢固、體積小、重量輕、壽命長(zhǎng)、安裝方便、功耗小、頻率高、耐震動(dòng)、不怕灰塵、油污、水汽及鹽霧等的污染或腐蝕。 霍爾器件分為霍爾元件和霍爾集成電路兩大類,前者是一個(gè)簡(jiǎn)單的霍爾片,使用時(shí)常常需要將獲得的霍爾電壓進(jìn)行放大。后者將霍爾片和它的信號(hào)處理電路集成在同一個(gè)芯片上。本次設(shè)計(jì)選取了霍爾集成電路來(lái)測(cè)量里程。里程測(cè)量是通過(guò)將霍爾傳感器的集成電路安裝在車輪上方的鐵板上,將磁鐵安裝在車輪上,旋轉(zhuǎn)的車輪將磁鐵對(duì)準(zhǔn)集成電路時(shí),霍爾傳感器會(huì)輸出一個(gè)脈沖信號(hào),送到單片機(jī),經(jīng)過(guò)單片機(jī)的計(jì)算處理,將行駛的里程送到顯示單元并顯示出來(lái)?;魻杺鞲衅骼锍虣z測(cè)示意圖如圖2-3所示。圖2-3里

38、程檢測(cè)示意圖u18霍爾傳感器ic(integrated circuit,集成電路)是一種利用霍爾效應(yīng)做成的半導(dǎo)體集成電路器件,它被設(shè)計(jì)在交變磁場(chǎng)中運(yùn)行,特別是能在低電源電壓工作,長(zhǎng)時(shí)間運(yùn)行溫度范圍高達(dá)125。這種霍爾可用作各種類型的傳感器(速度傳感器、位移傳感器、轉(zhuǎn)速傳感器等等),接觸開關(guān)以及相類似的應(yīng)用場(chǎng)合。其工作電壓比較寬(2.520v),可運(yùn)行在較大的溫度范圍內(nèi)(-20125), 其輸出的信號(hào)符合ttl(transistor-transistor logic,晶體管-晶體管邏輯)電平標(biāo)準(zhǔn),可以直接接到單片機(jī)的io 口上,而且其最高檢測(cè)頻率可達(dá)到1mhz。u18霍爾傳感器ic的外形圖及其工

39、作特性如圖2-4所示。其中bop是工作點(diǎn)“開”的時(shí)候的磁感應(yīng)強(qiáng)度,brp是釋放點(diǎn)“關(guān)”的時(shí)候的磁感應(yīng)強(qiáng)度。當(dāng)外加的磁感應(yīng)強(qiáng)度超過(guò)動(dòng)作點(diǎn)bop的時(shí)候,傳感器輸出的是低電平,磁感應(yīng)強(qiáng)度降到了動(dòng)作點(diǎn)bop以下時(shí),傳感器輸出電平是不變的,一直降到了釋放點(diǎn)brp的時(shí)候,傳感器才會(huì)由低電平躍變?yōu)楦唠娖健op與brp之間的滯后使開關(guān)動(dòng)作更為可靠。 (a) u18的外形圖及引腳 (b) u18的工作特性圖圖2-4 u18霍爾傳感器ic的外形及引腳圖及其工作特性圖u18集成霍耳開關(guān)由穩(wěn)壓器a、霍耳電勢(shì)發(fā)生器(即硅霍耳片)b、差分放大器c、施密特觸發(fā)器d和oc門輸出e五個(gè)基本部分組成。在輸入端輸入電壓vcc,經(jīng)

40、穩(wěn)壓器穩(wěn)壓后加在霍爾電勢(shì)發(fā)生器的兩端,根據(jù)霍爾效應(yīng)原理,當(dāng)霍爾片處在磁場(chǎng)中時(shí),在垂直于磁場(chǎng)的方向通以電流,則與這二者相垂直的方向上將會(huì)產(chǎn)生霍爾電勢(shì)差vh輸出,該vh信號(hào)經(jīng)放大器放大后送至施密特觸發(fā)器整形,使其成為方波輸送到oc門輸出。當(dāng)施加的磁場(chǎng)達(dá)到工作點(diǎn)(即bop)時(shí),觸發(fā)器輸出高電壓(相對(duì)于地的電位),使三極管導(dǎo)通,此時(shí)oc門輸出端輸出低電壓,三極管截止,使oc門輸出高電壓,這種狀態(tài)為關(guān)。這樣兩次電壓變換,使霍爾開關(guān)完成了一次開關(guān)動(dòng)作。 2.4本章小結(jié)經(jīng)過(guò)反復(fù)論證,我們最終確定計(jì)價(jià)器的最終方案: (1)選用最常用性價(jià)比高的 at89c52 作為本次設(shè)計(jì)的 cpu。 (2)采用74hc595

41、 驅(qū)動(dòng)lcd液晶顯示作為顯示部分。(3)用4個(gè)io 口設(shè)計(jì)控制4個(gè)獨(dú)立按鍵作為按鍵控制部分。(4)采用霍爾傳感器產(chǎn)生脈沖信號(hào)累加計(jì)算出速度。 (5)采用價(jià)錢比較便宜的蜂鳴器作為超速語(yǔ)音提醒部分。(6)選用 ds1302 芯片為計(jì)價(jià)器的時(shí)鐘部分。 3.系統(tǒng)硬件設(shè)計(jì)3.1主控模塊設(shè)計(jì)圖(一)單片機(jī) at89s52是一種低功耗、高新 能 cmos 8 為微控制器,具有 8k 在系統(tǒng)可編程 flash 存儲(chǔ)器。at89s52具有以下標(biāo)準(zhǔn)功能:8k 字節(jié) flash,256 字節(jié) ram,32 修 i/o 口 線,看門狗定非器,2 個(gè)數(shù)據(jù)指針,三個(gè) 16 為定非器/計(jì)數(shù)器,一個(gè) 6 向量 2 級(jí) 終端結(jié)

42、構(gòu),全雙工串行口,片內(nèi)晶振顯非鐘電路。另外,at89s52 可降至 0hz 靜態(tài)邏輯操作,支持 2 等軟件可選擇節(jié)點(diǎn)模式??臻e模式下,cpu 停止工作,允許 ram、定非器/計(jì)數(shù)器、串口、終端繼續(xù)工作。掉電保護(hù)方式下,ram 內(nèi) 容被保存,振蕩器被凍結(jié),單片機(jī)一切工作停止,直到下一個(gè)等等或硬件復(fù)修為止。單片機(jī)系統(tǒng)如圖 3-1 所示: 圖 3-1單片機(jī)系統(tǒng)圖(二)晶振電路單片機(jī)內(nèi)部有一個(gè)高增益、反相放大器,其輸入端為芯片引腳 xtal1,其輸出端為引腳 xtal2。通過(guò)這兩個(gè)引腳在芯片外并接石英晶體振蕩器和兩只電容(電容和一般取 33pf) 。這樣就構(gòu)成一個(gè)穩(wěn)定 的自激振蕩器。 振蕩電路脈沖經(jīng)過(guò)

43、二分頻后作為系統(tǒng)的時(shí)鐘信號(hào), 再在二分頻的 基礎(chǔ)上三分頻產(chǎn)生 ale 信號(hào),此時(shí)得到的信號(hào)時(shí)機(jī)器周期信號(hào)。晶振電路如圖 3-6所示:圖 3-6晶振電路電路圖(三)復(fù)位電路復(fù)位操作有兩種基本形式:一種是上電復(fù)位,另一種是按鍵復(fù)位。按鍵復(fù)位具有上電復(fù)位功能外,若要復(fù)位,只要按圖中的 s4 鍵,電源 vcc 經(jīng)電阻 r 分壓, 在 reset 端產(chǎn)生一個(gè)復(fù)位高電平。上電復(fù)位電路要求接通電源后,通過(guò)外部電容充電來(lái)實(shí)現(xiàn)單片機(jī)自動(dòng)復(fù)位操作。上電瞬間 reset 引腳獲得高電平,隨著電容的充電,rerst 引腳的高電平將逐漸下降。rerst 引腳的高電平只要能保持足夠的時(shí)間(2 個(gè)機(jī)器周期),單片機(jī)就可以進(jìn)

44、行復(fù)位操作。按鍵復(fù)位電路圖如圖 3-8所示。圖 3-8復(fù)位電路電路圖(四)按鍵電路獨(dú)立式鍵盤:獨(dú)立式鍵盤中,每個(gè)按鍵占用一根 i/o 口線,每個(gè) 按鍵電路相對(duì)獨(dú)立。i/o 口通過(guò)按鍵與地相連,i/o 口有上拉電阻, 無(wú)鍵按下時(shí),引腳端為高電平,有鍵按下時(shí),引腳電平被拉低。i/o 口內(nèi)部有上拉電阻時(shí),外部可不接上拉電阻。鍵盤接口電路如圖3-7所示:圖 3-7鍵盤接口電路(五)蜂鳴器驅(qū)動(dòng)電路由于蜂鳴器的工作電流一般比較大,以致于單片機(jī)的i/o口是無(wú)法直接驅(qū)動(dòng)的,所以要利用放大電路來(lái)驅(qū)動(dòng),一般使用三極管來(lái)進(jìn)行放大電流。蜂鳴器模塊的電路圖如圖3-5所示。圖3-5蜂鳴器驅(qū)動(dòng)電路圖3.2顯示模塊設(shè)計(jì)圖lc

45、d1602采用標(biāo)準(zhǔn)的14腳(無(wú)背光)或16腳(帶背光)接口,各引腳接口說(shuō)明如下:第1腳:vss為地電源。第2腳:vdd接5v正電源。第3腳:vl為液晶顯示器對(duì)比度調(diào)整端,接正電源時(shí)對(duì)比度最弱,接地時(shí)對(duì)比度最強(qiáng),使用時(shí)可以接10k的電位器來(lái)進(jìn)行調(diào)整。第4腳:rs為寄存器選擇,高電平時(shí)選擇數(shù)據(jù)寄存器、低電平時(shí)選擇指令寄存器。第5腳:r/w為讀寫信號(hào)線,高電平時(shí)進(jìn)行讀操作,低電平時(shí)進(jìn)行寫操作。當(dāng)rs和r/w都為低電平時(shí),可寫入指令或者顯示地址,都為高電平時(shí)則可讀忙信號(hào),當(dāng)rs為高電平r/w為低電平時(shí)可寫入數(shù)據(jù)。第6腳:e端是使能端,低電平時(shí)執(zhí)行命令。第714腳:d0到d7為8位雙向數(shù)據(jù)線。第15腳:

46、背光源正極。第16腳:背光源負(fù)極。lcd1602的顯示電路如圖3-2所示圖3-2 lcd1602顯示電路圖3.3 時(shí)鐘模塊設(shè)計(jì)圖若采用單片機(jī)計(jì)時(shí),一方面需要采用計(jì)數(shù)器,占用資源,另一方面需要設(shè)置終端、查詢等,同樣耗費(fèi)單片機(jī)的資源,并且使用單片機(jī)的時(shí)鐘誤差比較大,當(dāng)長(zhǎng)時(shí)間使用后會(huì)出現(xiàn)很大錯(cuò)誤,而在系統(tǒng)等采用 ds1302 則能很好非解決這個(gè)問(wèn)題。ds1302時(shí)鐘芯片的結(jié)構(gòu)主要包含有:移動(dòng)寄存器、振蕩器、實(shí)時(shí)時(shí)鐘、控制邏輯以及ram。有12個(gè)寄存器,其中7個(gè)與時(shí)鐘、日歷有關(guān),并以bcd碼的存放數(shù)據(jù)形式。時(shí)鐘芯片ds1302具有一個(gè)實(shí)時(shí)時(shí)鐘和31字節(jié)靜態(tài)ram。ds1302含有的ram分為兩種,一種

47、是單個(gè)的ram單元,一共有31個(gè),其中每個(gè)單元有8位的字節(jié),命令控制字為c0h-fdh,奇數(shù)為讀操作。另一種是突發(fā)方式下的ram,可一步到位的讀寫所有ram的31個(gè)字節(jié), 命令控制字feh為讀,ffh為寫。 表3-1為ds1302外部引腳引腳號(hào)引腳名稱功能1vcc2主電源2、3x1、x2振蕩源,外接32.768hz晶振4gnd地線5rst復(fù)位/片選線6i/o串行數(shù)據(jù)輸入/輸出端(雙向)7sclk串行時(shí)鐘輸入端8vcc1后備電源表3-1 ds1302外部引腳實(shí)時(shí)時(shí)鐘芯片ds1302采用串行數(shù)據(jù)傳輸,可為掉電保護(hù)電源提供可編程的充電功能,也可以關(guān)閉充電功能,芯片采用32.768hz晶振。ds130

48、2的時(shí)鐘電路如圖3-3所示。圖3-3 ds1302 時(shí)鐘電路圖3.4測(cè)速模塊設(shè)計(jì)圖u18霍爾傳感器ic有3個(gè)外接端子,2個(gè)是電源的正負(fù)極端子,最后一個(gè)是脈沖信號(hào)輸出,只要將此信號(hào)輸出端接到單片機(jī)的io口端子上便可以實(shí)現(xiàn)距離檢測(cè)。其中,單片機(jī)的p3.2(int0)引腳作為信號(hào)的輸入端接收來(lái)自傳感器輸出的信號(hào),采用外部中斷0進(jìn)行計(jì)數(shù)。車輪每轉(zhuǎn)一圈,霍爾傳感器就產(chǎn)生一個(gè)脈沖信號(hào),根據(jù)霍爾效應(yīng)原理,當(dāng)霍爾片處在磁場(chǎng)中時(shí),霍爾傳感器的輸出端輸出低電平。當(dāng)車輪轉(zhuǎn)動(dòng)一圈時(shí)小磁鐵提供一個(gè)磁場(chǎng),則霍爾傳感器輸出一次低電平完成一次數(shù)據(jù)采集,從而產(chǎn)生信號(hào)?;魻杺鞲衅鳈z測(cè)并輸出信號(hào)到單片機(jī)的int0計(jì)算脈沖輸入端,引

49、起單片機(jī)的中斷,對(duì)脈沖計(jì)數(shù),當(dāng)計(jì)數(shù)達(dá)到特定的次數(shù)時(shí),里程就會(huì)增加,單片機(jī)對(duì)里程進(jìn)行計(jì)算后,通過(guò)接口電路將計(jì)算好的結(jié)果傳送到數(shù)碼管并顯示出來(lái)。u18霍爾傳感器電路如圖3-4所示圖3-4 u18霍爾傳感器電路3.5本章小結(jié)本章主要闡述了顯示模塊,時(shí)鐘模塊,測(cè)速模塊設(shè)計(jì)等幾個(gè)重要模塊以及幾個(gè)輔助模塊的硬件電路設(shè)計(jì)圖。在元器件的布局方面,應(yīng)該把相互有關(guān)的元件盡量放得靠近一些,例如,時(shí)鐘發(fā)生器、晶振、cpu的時(shí)鐘輸入端都易產(chǎn)生噪聲,在放置的時(shí)候應(yīng)把它們靠近些。在設(shè)計(jì)電路的時(shí)候都盡可能選擇典型電路,并都符合單片機(jī)的常規(guī)用法。4系統(tǒng)軟件設(shè)計(jì)及調(diào)試4.1軟件設(shè)計(jì)流程圖(一)總程序的流程本設(shè)計(jì)中,軟件設(shè)計(jì)采用模

50、塊化操作,利用各個(gè)模塊之間的相互聯(lián)系,在設(shè)計(jì)中采用主程序調(diào)用各個(gè)子程序的方法,使程序通俗易懂,我們?cè)O(shè)計(jì)了整體程序流程圖。 在 main 函數(shù)編寫開始,要進(jìn)行初始化,包括對(duì)系統(tǒng)初始化和對(duì)存儲(chǔ)器初始化,要對(duì)硬件設(shè)備進(jìn)行初始化,并使硬件處于就緒狀態(tài)。通過(guò)判斷是否計(jì)費(fèi),調(diào)價(jià),清零等狀態(tài),來(lái)分別調(diào)用不同的子程序,使程序在設(shè)計(jì)之前,就有了很強(qiáng)的邏輯關(guān)系。這些對(duì)應(yīng)于硬件就是通過(guò)按下各個(gè)控制開關(guān),來(lái)分別進(jìn)行不同的動(dòng)作,最后顯示屏根據(jù)輸入的信息,來(lái)顯示不同的數(shù)據(jù)信息,這就達(dá)到了軟件控制硬件,同時(shí)輸入信息控制 輸出信息的目的。整個(gè)程序的流程如圖4-1:圖4-1系統(tǒng)流程圖(二)顯示子程序設(shè)計(jì)流程圖l602 液晶是字

51、符型液晶,它的內(nèi)部自帶字符庫(kù),它可以寫兩行的字符,同時(shí)每 行可以寫 40 個(gè)字符。液晶顯示程序流程圖如圖 4-2 所示:圖 4-2液晶顯示程序流程圖從此流程圖我們得出:lcd 液晶顯示屏在寫顯示程序的時(shí)候,我們要先寫命令, 再設(shè)定字符顯示和字符的位置,最后寫數(shù)據(jù),在每寫一次命令或數(shù)據(jù)都需要判斷液晶 是否忙。 打開液晶顯示屏進(jìn)入初始化狀態(tài),開始寫命令,首先判斷 lcd 是否忙,如果是 p0.7=0,那么進(jìn)入下一步寫命令,如果不是 p0.7=0,返回 lcd 是否忙; 判斷是否寫完成了,如果是寫完成了,此時(shí)要進(jìn)行下一步設(shè)置字符位置,如果否 的話,要返回寫命令; 設(shè)置好字符位置后要開始寫數(shù)據(jù),此時(shí)判

52、斷是否忙,如果不是 p0.7=0,那么返回,lcd 判忙;如果是 p0.7=0,那么繼續(xù)寫數(shù)據(jù); 判斷是否寫完成,如果沒(méi)有寫完成,返回寫數(shù)據(jù)命令;如果數(shù)據(jù)寫完成了,那么結(jié)束。(三)按鍵子程序設(shè)計(jì)流程圖 獨(dú)立式鍵盤:獨(dú)立式鍵盤中,每個(gè)按鍵占用一根 i/o 口線,每個(gè) 按鍵電路相對(duì)獨(dú)立。i/o 口通過(guò)按鍵與地相連,i/o 口有上拉電阻, 無(wú)鍵按下時(shí),引腳端為高電平,有鍵按下時(shí),引腳電平被拉低。i/o 口內(nèi)部有上拉電阻時(shí),外部可不接上拉電阻。按鍵子程序流程圖如圖 4-3 所示圖 4-3 按鍵子程序流程圖(四)ds1302時(shí)鐘程序ds1302為實(shí)時(shí)時(shí)鐘芯片,程序先初始化,再寫ds1302地址,然后向地址中寫數(shù)據(jù),讀取數(shù)據(jù),最后返回退出。ds1302時(shí)鐘程序流程如圖4-4所示。圖4-4ds1302時(shí)鐘程序流程圖4.2調(diào)試與結(jié)果(一)硬件調(diào)試計(jì)價(jià)器的電路不是很大,但是對(duì)于焊接方面更是不可輕視,電路系統(tǒng)中只要出于一處的錯(cuò)誤,則會(huì)對(duì)檢測(cè)造成很大的不便,而且電路的交線較多,對(duì)于各種鋒利的引腳要注意處理,否則會(huì)刺破帶有包皮的導(dǎo)線,則會(huì)對(duì)電路造成短路現(xiàn)象。在計(jì)價(jià)器的設(shè)計(jì)調(diào)試中遇到了很多的問(wèn)題。回想這些問(wèn)題只要認(rèn)真多思考都是可以避免的,以下為主要的問(wèn)題:開始的時(shí)候,霍爾傳感器一直沒(méi)

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論