《電子設計自動化技術》EDA實訓指導書_第1頁
《電子設計自動化技術》EDA實訓指導書_第2頁
《電子設計自動化技術》EDA實訓指導書_第3頁
《電子設計自動化技術》EDA實訓指導書_第4頁
《電子設計自動化技術》EDA實訓指導書_第5頁
已閱讀5頁,還剩23頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、 csh 前 言eda是電子設計自動化(electronic design automation)的縮寫,在20世紀90年代初從計算機輔助 設計(cad)、計算機輔助制造(cam)、計算機輔助測試(cat)和計算機輔助工程(cae)的概念發(fā)展而來的。eda技術就是以計算機為工具,設計者在eda軟件平臺上,用硬件描述語言hdl完成設計文件,然后由計算機自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局、布線和仿真,直至對于特定目標芯片的適配編譯、邏輯映射和編程下載等工作。eda技術的出現(xiàn),極大地提高了電路設計的效率和可靠性,減輕了設計者的勞動強度。vhdl是一門非常重要的、是目前標準化程度最高的硬

2、件描述語言。它在1987年就被ieee采納為ieee#1076標準。用其書寫的源文件既是程序又是文檔,既是工程技術員之間交換信息的文件,以可作為合同簽約者之間的文件。 在電子設計自動化技術課程的理論學習中,我們已仔細地介紹了基本數(shù)字電路的vhdl描述,為進一步掌握vhdl的綜合應用,通過專門實訓周以加強實踐操作能力。本次實訓現(xiàn)提供以上幾個數(shù)字系統(tǒng)綜合課題供大家進行分析 練習 修改與礦。為了提高分析 實踐效果,在此只提供簡單的課題框圖與注釋作為提示。同時將vhdl源代碼給出以便對照調(diào)試之用。 課題1 帶數(shù)字顯示的秒表一、 設計任務及要求1、 設計一塊用數(shù)碼管顯示的秒表。2、 能夠準確的計時并顯示

3、。3、 開機顯示00.00.00。4、 用戶可隨時清零、暫停、計時。5、 最大計時59分鐘,最小精確到0.01秒。二、 可選器件epm130208-2、共陰極七段數(shù)碼管、發(fā)光二極管、按鍵開關、電阻、電容。三、 設計總體框圖 數(shù)字顯示器的秒表總體框圖如圖1所示。譯碼顯示時分復用分頻電路時鐘輸入計時模塊 圖1帶數(shù)字顯示的秒表總體框圖四、 源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity watch isport (sel:ou

4、t std_logic_vector(6downto1); 信號。seg:out std_logic_vector(7downto 0); 管的輸出信號。beginstop:in std_logic;、停止計數(shù)。reset:in std_logic; 復位 cp2:in std_logic);end watch; architecture behave of watch is signal numl:std_logic_vector(3downto0); signal num2:std_logic_vector(3downto 0); signal num3:std_logic_vector

5、(3 downto 0); signal num4:std_logic_vector (3 downto 0); signal num5:std_logic_vector(3 downto 0); signal num6:std_logic_vector(3 downto 0); signal num: std_logic_vector(3 downto 0); signal numlet:std_logic_vector(2 downto 0); signal count:std_logic_vector( 17 downto 1); signal selsig:std_logic_vect

6、or(6 downto 1); signal segsig:std_logic_vector(7 downto 0);signal cp1:std_logic;signal cp3:std_logic;beginprocess(cp2)分頻。beginif(cp2event and cp2=1)thenif(count=”11000011010011111”)then count=”00000000000000000”;cp1=not cp1; else count=count+1;end if;end if; cp3=count(10);end process;process(cp1)計數(shù)控

7、制。beginif reset=1 then num1(3downto 0)=”0000”; num2(3downto 0)=”0000”; num3(3downto 0)=”0000”; num4(3downto 0)=”0000”; num5(3downto 0)=”0000”; num6(3downto 0)=”0000”; else if cp1event and cp1=1 thenif biginstop=1 then num1=num1+1;if num1(3downto 0)=”1001” thennum1 (3downto 0)=”0000”;num2=num2+1;if n

8、um2(3downto 0)=”1001” thennum2(3 downto 0)=”0000”;num3=num3+1;if num3(3downto 0)=”1001” thennum3(3downto 0)=”0000”;unm4=num4+1;if num4(3downto 0)=”0101”thennum4(3downto0)=”0000”;num5=num5+1;if num5(3downto 0)=”1001” thennum5(3downto 0)=”0000” ;num6=num6+1;if num6(3downto 0)=”0101”thennum6(3downto0)=

9、”0000”;end if ;end if; end if; end if; end if;end if;end if;end if;end if;end process;process(cp3)顯示控制。begin if(cp3event and cp3=1)thenif(numlet(2downto0)=”000”)thennum=numl;selsig(6downto1)=”111110”;end if;if(numlet(2downto0)=”001”)thennum=num2;selsig(6downto1)=”111101”;end if;if(numlet(2downto0)=”

10、010”thennum=num3;selsig(6downto1)=”111011”;end if;if(numlet(2downto 0)=”100”;thennum=num4;selsig(6downto1)=”110111”;end if;if(numlet(2downto0)=”100” thennum=num5;selsig(6downto1)=”101111”;end if;numlet(2downto 0)=numlet(2downto 0)+1;if(numlet(2downto0)=”101”thennumlet(2downto 0)=”000”;num=num6;selsi

11、g(6downto1)=”011111”;end if;end if;if(num(3downto0)=”0000”)thensegsig(7downto 0)=”01111111”;end if;end if;if(num(3downto0)=”0001”)thensegsig(7downto0)=”00001101”;end if;if(num(3downto0)=”0010”)thensegsig(7downto 0)=”10011111”;end if;if(num(3downto0)=”0100”)thensegsig(7downto0)=”10011111”;end if;if(n

12、um(3downto0)=”0100”)thensegsig(7downto0)=”11001101”;end if;if(num(3downto)=”0101”)then segsig(7downto0)=”11011011”;end if;if(num(3downto0)=”0110”)thensegsig(7downto0)=”11111011”;end if;if(num(3downto0)=”0111”;)thensegsig(7downto0)=”00001111”;end if;if(num(3downto0)=”1000”)thensegsig(7downto0)=”11111

13、111”;end if;if(num(3downto0)=”1001”)thensegsig(7downto0)=”11011111”;end if;end process;sel=selsig;seg(7downto0)=segsig(7downto0);end behave;五、 程序說明1、 此程序包括分頻、計數(shù)控制、顯示控制部分。2、 開機顯示00.00.00,用戶可隨時計時、暫停、清零,最大計時可到59分59.99秒。3、 計數(shù)時鐘為100hz。4、 采用時分復用的方法控制4個數(shù)碼管的顯示,可節(jié)約資源。 課題2 88光點陣逐點掃描顯示裝置一、 設計任務要求1. 使用88矩陣顯示屏設計

14、一個掃描控制電路。2. 光點從屏左上角像素點開始逐點掃描,終止與右下角像素點,然后周而復始的運行下去。3. 掃描一楨所需時間為13s。二、 可選器件epm130208-2、8x8矩陣顯示屏、電阻。三、 設計總體框圖8x8發(fā)光點陣逐點掃描顯示裝置總體框圖如圖2所示。時鐘輸 入列控制電 路分頻電 路行控制電 路8x8矩陣顯示屏圖2 8x8發(fā)光點陣逐點掃描顯示裝置總體框圖四、 參考源程序 library ieee; use ieee.std_logic_1164.all;use ieee.std_logicsigned.all;entity zlx2 isport( clk:in std_logic

15、;q:out std_logic_vector(21 downto0);路輸出。t:out std_logic_vector(0to7); 列選通。y:out std_logic_vector(0to7); 行選通。 ebd zkx2larchitecture a of zlx2 is signal b:std_logic_vector(21downto 0):=”0000000000000000000000”; signal a:std_logic_vector(0to 2); signal e:std_logic_vector(0to2); signal c:std_logic_vecto

16、r(0to7); signal d:std_logic_vector(0to7);beginprocess (clk) 分頻電路。begin if(clkevent and clk =1)then b(21downto 0)=b(21downto)+1;end if;q=b; 輸出掃描頻率。end process;process 以下兩個進程控制行、列選通線實現(xiàn)點掃描。begina(0)=b(16);a(1)=b(17);a(2)=b(18);if a=”000”then c=”10000000”;elsif a=”001”then c=”01000000”;elsif a=”010”then

17、 c=”00100000”;elsif a=”011”then c=”00010000”;elsif a=”100”then c=”00001000”;elseif a=”101”then c=”00000100”;elseif a=”110”then c=”00000010”;elseif a=”111”then c=00000001”;end if;y=c;end process;processbegine(0)=b(19);e(1)=b(20);e(2)=b(21);if e=”000”then d=”01111111”;elsif e=”001”then d=”10111111”;el

18、sif e=”010”then d=”11011111”;elsif e=”011”then d=”11101111”;elsif e=”100”then d=”11110111”;elsif e=”101”then d=”11111011”;elsif e=”110”then d=”11111101”;elsif e=”111”then d=”11111110”;end if;t=d;end process;end a;五、 說明1. 程序主要由分頻電路和掃描控制電路組成。2. 掃描控制電路可用3線-8線譯碼器的設計思路來實現(xiàn)。課題3 彩燈閃爍裝置一、 設計任務及要求1. 使用8x8矩陣顯示

19、屏設計一個彩燈閃爍裝置。2. 第一偵以1個光點為1個像素點從屏左上角開始逐點掃描,終止于右下角。3. 第二偵以2個光點為1個像素從左上角開始逐點掃描,終止于右下角。4. 第三偵重復第一偵,第四偵重復第二偵,周而復始的運行下去。二、 可選器件epm130208-2、8x8矩陣顯示屏、電阻。控制 奇 偶 偵8x8矩陣顯示屏三、 設計總體框圖時鐘輸入分頻電路列控制電路行控制電路彩燈閃爍裝置總體框圖如圖3所示。圖3 彩燈閃爍裝置總體框圖四、 源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;-for+op

20、eration.entity scan2 isport(cp1:in std_logic;輸入時鐘20mhz。 ho:out std_logic_vector(7downto0);行控制信號。 vo:out std_logic_vector(7downto0);列控制信號。end scan2;architecture scanpixel of scan2 issignal count1:std_logic_vector(2downto0):=”000”;掃描哪一個點的計數(shù)器。signal cuont2:std_logic_vector(3downto0):=”0000”;掃描哪一個行的計數(shù)器。

21、signal v:std_logic_vector(7downto0):=”10000000”;signal h:std_logic_vector(7downto0):=”10000000”;輸出信號.signal cp2:std_logic;signal cp:std_logic;signal cnt:std_logic_vector(15downto0):=”0000000000000000”signal cnt2:std_logic_vector(5downto0):=”000000”;分頻用的計數(shù)器。beginprocess(cp1)begin if (cp1eventand cp1=

22、1)thencnt=cnt+1;end if;cp2=cnt(15);end process;process(cp2)beginif(cp2event and cp2=1)thencnt2=cnt2+1;end if;cp=cnt2+1;end if;cphhhhhhhhh=”00000000”;end case;if count2=”0111”then 如果掃描的是前8行,則逐行掃描。 count1vvvvvvvvv=”11111111”; end case;if count2=”111”then count2=count2+1;end if;掃描完一行行計數(shù)器加1。else 若掃描的是后8

23、行,則2點到掃描。 if count1=”010”then count1=”111”;else count1vvvvv=”11111111”;end case;if count1(1downto0)=”11”then count2=count2+1;end if;掃描完一行,行計數(shù)器加1。end if;end if;ho=h;vo=v;end process;end scanpixel;五、 程序說明 此程序主要由分頻電路,行,列控制電路組成。 課題4 搶答器一、 設計任務及要求 設計2人搶答器。要求如下:1. 兩人搶答,先搶為有效,用發(fā)光二極管顯示是否搶到優(yōu)先答題權。2. 每人2為計分顯示,

24、答錯了不加分,答對了可加10、20、30分。3. 每題結束后,裁判按復位,可重新?lián)尨鹣乱活}。4. 累計加分可由裁判隨時清零。二、 可選器件epm130208-2、共陰極七段數(shù)碼管,按鍵開關、電阻、電容。三、 設計總體框圖搶答器總體框圖如圖4所示。顯示搶答指示燈加分電路搶答者1輸入搶答者2輸入顯示加分結 果裁判復位重新?lián)尨鸩门屑?分搶 答判 斷裁判清 零 圖4 搶答器總體框四、 源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;entity qb isport(i1,i2:in bit;搶答器兩輸入

25、端。 reset: in bit;搶答器復位端。g10,g10,g30:in bit;加分輸入端10分、20分、30分。light1,light2:out bit:=0;搶答標志燈。tll:out std_logic_vector(6downto0):=”0111111”;“tl1、tl2”,顯示第一個人得分。tl2:out std_logic_vector(6downto0):=”0111111”;t21:out std_logic_vector(6downto0):=”0111111”;“t21t22”顯示第二個人得分。clk:in bit;時鐘輸入端。cong:in bit 清零控制端。

26、); end qb;architecture stru of qb issignal cs1:integer range0to9;sitgnal cs2:integer range 0to9:signal a,b:bit:=0;signall1,l2:bit:=0;begin process(clk)beginif clkevent and clk =1 thenif(cong=1)thenif(reset=1)thenif(i1=0and a=0)then l1=1;a=1;搶答。elsif (i2=”0and a=0) then l2=1; a=1;end if; if(g10=0and

27、l1=1and b=0)then cs1=cs1+1;b=1;elsif(g20=0and l1=1and b=0)then cs1=cs1+2;b=1;elsif(g30=0and l1=1and b=0)then cs1=cs1+2;b=1; end if 完成第一人的加分。 if(cs1=0)then tl1=”0111111”;顯示。 elsif (cs1=1)then t11=”0000110”; elsif (cs1=2)then t11=”1011011”; elsif (cs1=3)then t11=”100111”;elsif (cs1=4)then t11=”1100110

28、”;elsif (cs1=5)then t11=”1101101”;elsif(cs1=6)then t11=”1111101”;elsif (cs1=7)then t11=”0000111”;elsif (cs1=8)then t11=”1111111”;elsif (cs1=9)then t11=”1101111”;end if;if (cs20)then t21=”0111111”;elsif (cs2=1)then t21=”0000110”;elsif(cs2=2)then t21=”1011011”;elsif(cs2=3)then t21=”1001111”;elsif(cs2=

29、4)then t21=”1100110”;elsif(cs2=5)then t21=”1101101”;elsif(cs2=6)then t21=”1111101”;elsif(cs2=7)then t21=”0000111”;elsif(cs2=8)then t21=”1111111”;elsif(cs2=9)then t21=”1101111”;end if;else l1=0;l2=0;a=0;b=0;end if;elde cs1=0;cs2=0;l1=0;l2=0;end if;end process;end stru;五、 程序說明1. 此程序由3部分組成,即搶答、加分、顯示。2.

30、 當一人搶到優(yōu)先答題權,發(fā)光二極管亮,另一人再按按鍵無效。答題結束后,裁判按復位鍵,可再次搶答。3. 每人有兩個數(shù)碼管顯示累加計分情況,分數(shù)分為3檔,用按鍵來區(qū)別。課題5 密碼鎖一、 設計任務及要求設計一個兩位的密碼鎖,要求如下:1. 開鎖代碼為2位十進制并行碼。2. 當輸入的密碼與鎖內(nèi)的密碼一致時,綠燈亮,開鎖;當輸入的密碼與鎖內(nèi)的密碼不一致時,紅燈亮,不能開鎖。3. 密碼可由用戶自行設置。二、 可選器件epm130208-2、共陰極七段數(shù)碼管、發(fā)光二極管、按鍵開關、電阻、電容。三、 設計總體框圖密碼鎖總體框圖如圖5所示ccn密 碼檢 測數(shù) 據(jù)輸 入譯 碼顯 示數(shù) 據(jù)寄 存密 碼存儲儀判 斷

31、開 鎖指 示 燈指 示 燈 圖5 密碼鎖總體框圖四、 源程序 library ieee; use ieee.std_logic_signed.all;entity lock isport(a:in std_logic_vector(9downto0);十位輸入端。 b:in std_logic_vector(9downto0);個位輸入端。en,clk:in std_logic;en為密碼確認開關。 en1:in std_logic;en1為密碼檢驗開關。c,d:out std_logic_vector(6downto0):=”0111111”;輸出七段數(shù)碼管的控制。k,m:out std_l

32、ogic);k為綠燈,m為紅燈。end lock;architecture behave of lock issignal e:std_logic_vector(3downto0);signal f: std_logic_vector (3downto0);signal g:std_logic_vector(3downto0);signal h:std_logic_vector(3downto0);signal count1,count2,:std_logic:=0signal s:std_logic:=0;begin if (a(9downto0)=”0000000000”)then c(6

33、downto0)=”0111111”;elsif (a(1)=1) thene=”0000”;c(6downto0)=”0111111”;elsif(a(1)=1)thene=”0001”;c(6downto0)=”0000110”;elsif(a(2)=1)thene=”0010”;c(6downto0)=”1011011”;elsif(a(3)=1)thene=”0011”;c(6downto0)=”1001111”;elsif (a(4)=1) thene=”0100”;c(6downto0)=”1100110”;elsif (a(5)=1) thene=”0101”;c(6downto

34、0)=”1101101”;elsif(a(6)=1) thene=”0110”;c(6downto0)=”1111100”;elsif(a(7)=1) thene=”0111”;c(6downto0)=”0000111”;elsif(a(8)=1) thene=”1000”;c(6downto0)=”1111111”;elsif(a(9)=1) thene=”1001”;c(6downto0)=”1100111”;end if;end process;process(b,f)個位的數(shù)據(jù)轉換。beginif(b(9downto0)=”00000000000” thend(6downto0)=”0

35、111111”;elsif(b(0)=1) thenf=”0000”;d(6downto0)=”0111111”;elsif(b(1)=1)thenf=”0001”;d(6downto0)=0000100”;elsif(b(2)=1;thenf=”0010”;d(6downto0)=”1011011”;elsif(b(3)=1) thenf=”0011”;d(6downto0)=”1001111”;elsif(b(4)=1) thenf=”0100”;d(6downto0)=”1100110”;elsif(b(5)=1) thenf=”0101”;d(6downto0)=”1101101”;e

36、lsif(b(6)=1) thenf=”0110”; d(downto0)=”1111100”;elsif(b(7)=1)thenf=”0111”;d(6downto0)=”0000111”;elsif(b(8)=1) thenf=”1000”;d(6downto0)=”1111111”;elsif(b(9)=1) thenf=”1001”;d(6downto0)=”1100111”;end if;end process;process(clk)判斷密碼是否正確,可否開鎖。beginif(s=1)and(en=1)and(count=0) theng(3downto0)=e(3downto0)

37、;h(3downto0)=f(3downto0);count1=not(count1);end if;if(en1=1)and(count2=0) thenif(e(3downto0)=g(3downto0)andf(3downto0)=h(3downto0) thenk=1;s=1;elsek=0;m=1;end if;if (en=0)and(en1=0) thencount1=0;count2=0;k=0;s=0;m=0;end if;if(en=0 and s=1) thencount1=0;end if;end process;end behave;五、 程序說明1. 此程序由解碼、

38、譯碼、數(shù)碼管顯示部分組成。2. 此程序是一個并行密碼鎖,用戶開鎖密碼為00。當使用開鎖密碼后,指示燈亮(綠燈),表示鎖被打開。用戶可自行設置密碼。用戶可用2個dip開關(110)設置099的2位十進制數(shù)密碼。 課題6 數(shù)字頻率計一、 設計任務及要求設計一個4位十進制數(shù)字顯示的數(shù)字式頻率計。要求如下:1 4位十進制數(shù)字顯示的數(shù)字顯示的數(shù)字式頻率計,其頻率測量范圍為109999khz,測量單位為khz。2 要求量程能夠自動轉換。(即測幾十到幾百千赫茲(khz)時,有小數(shù)點顯示,前者顯示小數(shù)點后兩位,后者顯示小數(shù)點后一位。)3 當輸入的信號小于10khz時,輸出顯示全0;當輸入的信號大于9999kh

39、z時,輸出顯示全h。二、 可選器件epm130208-2、共陰極七段數(shù)碼管、按鍵開關、電阻、電容。三、 設計總體框圖數(shù)字頻率計總體框圖如圖6所示。復位譯碼顯 示復位被測信號計數(shù)電路鎖存器時分復用時鐘輸 入分頻電 路圖6 數(shù)字頻率計總體框圖四、 源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;entity total isport (cp_20m:in std_logic; enable:in std_logic; input:in std_logic; reset:in std_logic; s

40、el:out std_logic_vector( 3 downto 0); show:out std_logic_vector(6 downto 0); decimal:out std_logic_vector(2 downto 0);end total;architecture content of total issignal cp_1,cp_2,cp_3:std_logic;signal reset_1:std_logic;signal overflow_1,low_1:std_logic;signal play0_1,play1_1,play2_1,play3_1:integer ra

41、nge 0 to 9;signal overlatch_1,lowlatch_1:std_logic;signal p0latch_1,p1latch_1,p2latch_1:integer range 0 to 9;signal decimal_1:std_logic_vector(2 downto 0);component dividefre4 isport(cp_20m:in std_logic; cp1:out std_logic; cp2:out std_logic; cp3:out std_logic);end component;component debounce isport

42、(key:in std_logic; cp:in std_logic; imp:out std_logic);end component;component fretest isport(enable,cp3,input,reset:in std_logic; overflow,low:out integer range 0 to 9; decimal:out std_logic_vector(2 downto 0) );end component;component frelatch isport( reset:in std_logic; cp3:in std_logic; overflow

43、:in std_logic; low:in std_logic; play0,play1,play2,play3:in integer range 0 to 9; decimal:in std_logic_vector(2 downto 0); overlatch:out std_logic; lowlatch:out std_logic; p0latch,p1latch,p2latch,p3latch:out integer range 0 to 9; delatch:out std_logic_vector(2 downto 0) );end component;component dis

44、play isport(cp1:in std_logic; low:in std_logic; overflow:in std_logic; p0,p1,p2,p3:in integer range 0 to 9; show:out std_logic_vector(6 downto 0); sel:out std_logic_vector(3 downto 0) );end component;beginu1:dividefre4 port map(cp_20m,cp_1,cp_2,cp_3);u2:debounce port map(reset,cp_2,reset_1);u3:frete

45、st port map(enable,cp_3,input,reset_1,overflow_1,low_1,play0_1,play1_1,play2_1,play3_1,decimal_1);u4:frelatch port map(reset_1,cp_3,overflow_1,low_1,play0_1,play1_1,play2_1,play3_1,decimal_1,overlatch_1,lowlatch_1,p0latch_1,platch_1,p2latch_1p3latch_1decimal);u5:display port map(cp_1,lowlatch_1,overlatch_1,p0latch_1,p1latch_1,p2latch_1,p3latch_1,show,sel);end content;library ieee;use ieee.std_logic_1164.all

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論