EDA技術(shù)課程設(shè)計(jì)交通控制器設(shè)計(jì)_第1頁
EDA技術(shù)課程設(shè)計(jì)交通控制器設(shè)計(jì)_第2頁
EDA技術(shù)課程設(shè)計(jì)交通控制器設(shè)計(jì)_第3頁
EDA技術(shù)課程設(shè)計(jì)交通控制器設(shè)計(jì)_第4頁
EDA技術(shù)課程設(shè)計(jì)交通控制器設(shè)計(jì)_第5頁
已閱讀5頁,還剩11頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、eda技術(shù)課程設(shè)計(jì)課題: 交通控制器設(shè)計(jì)系 別: 電氣與電子工程系專 業(yè): 電子信息工程姓 名: 學(xué) 號(hào): 指導(dǎo)教師: 河南城建學(xué)院2011年6月24日成績(jī)?cè)u(píng)定一、指導(dǎo)教師評(píng)語(根據(jù)學(xué)生設(shè)計(jì)報(bào)告質(zhì)量、答辯情況及其平時(shí)表現(xiàn)綜合評(píng)定)。二、評(píng)分(按下表要求評(píng)定)評(píng)分項(xiàng)目設(shè)計(jì)報(bào)告評(píng)分答辯評(píng)分平時(shí)表現(xiàn)評(píng)分合 計(jì) (100分)任務(wù)完成情 況(20分)課程設(shè)計(jì)報(bào)告質(zhì)量(40分)表達(dá)情況(10分)回答問題情 況(10分)工作態(tài)度與紀(jì)律(10分)獨(dú)立工作能力(10分)得分課程設(shè)計(jì)成績(jī)?cè)u(píng)定班級(jí) 姓名 學(xué)號(hào)成績(jī): 分(折合等級(jí) )指導(dǎo)教師簽字 年 月 日目錄一、設(shè)計(jì)目的- 3 -二、設(shè)計(jì)要求- 3 -(2).熟悉

2、掌握常用仿真開發(fā)軟件,比如: quartus ii或xilinx ise的使用方法。- 3 -(4).學(xué)會(huì)用eda技術(shù)實(shí)現(xiàn)數(shù)字電子器件組成復(fù)雜系統(tǒng)的方法;學(xué)習(xí)電子系統(tǒng)電路的安裝調(diào)試技術(shù)。- 4 -三、總體設(shè)計(jì)原理與內(nèi)容- 4 -1、設(shè)計(jì)內(nèi)容- 4 -四、eda設(shè)計(jì)及仿真- 6 -1、主控制程序- 6 -2、交通燈仿真結(jié)果及數(shù)據(jù)分析- 10 -五、硬件實(shí)現(xiàn)- 11 -1、給出硬件實(shí)現(xiàn)引腳圖- 11 -2、硬件實(shí)現(xiàn)照片- 13 -六、設(shè)計(jì)總結(jié)- 13 -1、設(shè)計(jì)過程中遇到的問題及解決方法- 13 -2、設(shè)計(jì)體會(huì)- 14 -3、對(duì)設(shè)計(jì)的建議- 14 -七、設(shè)計(jì)生成的電路圖(見附圖)- 14 -參考文

3、獻(xiàn)- 15 -附圖一- 15 -附圖二- 17 -一、設(shè)計(jì)目的通過對(duì)fpga(現(xiàn)場(chǎng)可編程門陣列)芯片的設(shè)計(jì)實(shí)踐,使學(xué)生掌握一般的pld(可編程邏輯器件)的設(shè)計(jì)過程、設(shè)計(jì)要求、設(shè)計(jì)內(nèi)容、設(shè)計(jì)方法,能根據(jù)用戶的要求及工藝需要進(jìn)行電子芯片設(shè)計(jì)并制定有關(guān)技術(shù)文件。培養(yǎng)學(xué)生綜合運(yùn)用已學(xué)知識(shí)解決實(shí)際工程技術(shù)問題的能力、查閱圖書資料和各種工具書的能力、工程繪圖能力、撰寫技術(shù)報(bào)告和編制技術(shù)資料的能力,受到一次電子設(shè)計(jì)自動(dòng)化方面的基本訓(xùn)練。培養(yǎng)學(xué)生利用eda技術(shù)知識(shí),解決電子設(shè)計(jì)自動(dòng)化中常見實(shí)際問題的能力,使學(xué)生積累實(shí)際eda編程。通過本課程設(shè)計(jì)的學(xué)習(xí),學(xué)生將復(fù)習(xí)所學(xué)的專業(yè)知識(shí),使課堂學(xué)習(xí)的理論知識(shí)應(yīng)用于實(shí)踐,

4、通過本課程設(shè)計(jì)的實(shí)踐使學(xué)生具有一定的實(shí)踐操作能力。 1 了解交通燈的亮滅規(guī)律。 2 了解交通燈控制器的工作原理。 3 熟悉vhdl語言編程,了解實(shí)際設(shè)計(jì)中的優(yōu)化方案。 二、設(shè)計(jì)要求(1).以eda技術(shù)的基本理論為指導(dǎo),將設(shè)計(jì)實(shí)驗(yàn)分為基本功能電路和較復(fù)雜的電子系統(tǒng)兩個(gè)層次,要求利用數(shù)字電路或者eda方法去設(shè)計(jì)并完成特定功能的電子電路的仿真、軟硬件調(diào)試;(2).熟悉掌握常用仿真開發(fā)軟件,比如: quartus ii或xilinx ise的使用方法。(3).能熟練運(yùn)用上述開發(fā)軟件設(shè)計(jì)并仿真電路并下載到fpga中進(jìn)行調(diào)試; (4).學(xué)會(huì)用eda技術(shù)實(shí)現(xiàn)數(shù)字電子器件組成復(fù)雜系統(tǒng)的方法;學(xué)習(xí)電子系統(tǒng)電路的

5、安裝調(diào)試技術(shù)。三、總體設(shè)計(jì)原理與內(nèi)容 1、設(shè)計(jì)內(nèi)容用eda技術(shù)設(shè)計(jì)一個(gè)十字路口的交通信號(hào)燈控制器,控制a、b兩條交叉道路上的車輛通行,具體要求如下:(1)、每條道路設(shè)一組信號(hào)燈,每組信號(hào)燈由紅黃綠3個(gè)燈組成,綠燈表示允許通行紅燈表示禁止通行,黃燈表示該車道上已過停車線的車輛繼續(xù)通行,未過停車線的車輛停止通行。(2)、每條道路上每次通行的時(shí)間為25s。(3)、每次變換通行車道之前,要求黃燈先亮5s,才能變換通行車道。(4)、黃燈亮?xí)r,要求每秒鐘閃爍一次。2、設(shè)計(jì)的總體原理 圖3-1交通燈系統(tǒng)控制框圖圖3-2交通信號(hào)燈的控制電路圖3-3交通燈的時(shí)間轉(zhuǎn)向圖四、eda設(shè)計(jì)及仿真1、主控制程序libra

6、ry ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity controller isport (clk8: in std_logic;reset: in std_logic;hold: in std_logic;reda,greena,yellowa:out std_logic;redb,greenb,yellowb:out std_logic;displaya,displayb,displayc,displayd:out std_logic_v

7、ector(0 to 6);end;architecture control of controller is signal countnum: integer range 0 to 50;signal clk: std_logic;signal numa,numb,numc,numd: integer range 0 to 9;signal noa,nob:integer range 0 to 25;signal qina,qinb,qinc,qind: std_logic_vector(3 downto 0);signal clock:std_logic;signal clock1:std

8、_logic;signal tout: integer range 0 to 3;begin process(clk8) ;分頻beginif rising_edge(clk8) thenif tout=3 thentout=0;clock1=not clock1;else tout=tout+1;end if;end if;clk=clock1;end process;process(clk)begin if reset=1then countnum=0;elsif rising_edge(clk)thenif countnum=49 thencountnum=0;elsecountnum=

9、countnum+1;end if;end if;end process; process(clk)beginif rising_edge(clk)thenif hold=1thenreda=1;redb=1;greena=0;greenb=0;else if countnum=19 thennoa=20-countnum;reda=0;greena=1;elsif(countnum=24)thennoa=25-countnum;reda=0;greena=0;elsenoa=50-countnum;reda=1;greena=0;end if;if countnum=24 thennob=2

10、5-countnum;redb=1;greenb=0;elsif countnum=44 thennob=45-countnum;redb=0;greenb=1;elsenob=50-countnum;redb=0;greenb20 and countnum=25) thenyellowa=clk;yellowb45 and countnum=50) thenyellowa=0;yellowb=clk;else yellowa=0;yellowb=20 thennuma=2;numb=10 thennuma=1;numb=noa-10;elsenuma=0;numb=20 thennumc=2

11、;numd=10 thennumc=1;numd=nob-10;elsenumc=0;numd=nob;end if;end if;end process;process(clk8)begin ;數(shù)碼管顯示qina=conv_std_logic_vector(numa,4);qinb=conv_std_logic_vector(numb,4);qinc=conv_std_logic_vector(numc,4);qinddisplayadisplayadisplayadisplayadisplayadisplayadisplayadisplayadisplayadisplayadisplaya

12、displaybdisplaybdisplaybdisplaybdisplaybdisplaybdisplaybdisplaybdisplaybdisplaybdisplaybdisplaycdisplaycdisplaycdisplaycdisplaycdisplaycdisplaycdisplaycdisplaycdisplaycdisplaycdisplayddisplayddisplayddisplayddisplayddisplayddisplayddisplayddisplayddisplayddisplayd=0000000;end case;end process;end;2、

13、交通燈仿真結(jié)果及數(shù)據(jù)分析仿真結(jié)果基本正確,對(duì)于每一時(shí)刻給出的時(shí)鐘信號(hào)對(duì)應(yīng)相應(yīng)的計(jì)數(shù)值,紅燈和綠燈都是間隔閃亮20/25秒,黃燈每次都是閃爍五秒鐘,符合要求,復(fù)位鍵和保持鍵同樣給出正確的仿真,對(duì)應(yīng)的數(shù)碼管譯碼也正確,所期望的與事實(shí)完全相同,仿真結(jié)果正確! 五、硬件實(shí)現(xiàn) 1、給出硬件實(shí)現(xiàn)引腳圖 2、硬件實(shí)現(xiàn)照片 六、設(shè)計(jì)總結(jié)1、設(shè)計(jì)過程中遇到的問題及解決方法在本設(shè)計(jì)中出現(xiàn)的問題有很多,現(xiàn)舉例如下:(1)、程序的組合,一開始我只是簡(jiǎn)單的將編好的控制模塊,分為模塊,顯示模塊,分頻模塊組合在一起,進(jìn)行編譯的時(shí)候并沒有報(bào)錯(cuò),而仿真結(jié)果也是看似正確,因?yàn)橹挥兄鞒绦虻玫骄幾g,其他四個(gè)模塊都沒有起到作用,結(jié)果輸

14、入與輸出引腳都只有主程序的,沒有要求得到的全部引腳,很明顯,這種拼接做法是錯(cuò)誤的。(2)、經(jīng)過修改之后的程序出現(xiàn)最多的錯(cuò)誤就是end if 與end process的多與少的問題,end if經(jīng)常多放,其他的還有變量的賦值符號(hào)與信號(hào)的不同,變量聲明的位置也與信號(hào)不同,信號(hào)的使用也有限制,因?yàn)椴荒芗皶r(shí)更新,會(huì)有時(shí)間上的延遲。(3)、再個(gè)就是黃燈的閃爍,因?yàn)閯傞_始和紅綠燈一塊定義,賦值,沒有閃爍的效果,最后在進(jìn)程之外,我又增加了一個(gè)進(jìn)程,專門定義與控制黃燈的閃爍問題,以為需要分頻后的時(shí)鐘信號(hào),只是分開定義的主要原因。(4)、最后一步是硬件的仿真,程序下載之后,數(shù)碼管不亮,二極管也不亮,我檢查程序,

15、也沒有錯(cuò)誤,接入引腳也是正確的(雖然之前有幾次是因?yàn)橐_接錯(cuò)位和芯片的錯(cuò)誤使用,以及功能仿真與時(shí)序仿真的不同),啟動(dòng)之后就是不亮,經(jīng)過反復(fù)檢查,復(fù)位鍵和保持鍵有些失靈,按下幾次之后即恢復(fù)正常工作,和之前期望的完全相同。至此,本設(shè)計(jì)圓滿成功!2、設(shè)計(jì)體會(huì)本設(shè)計(jì)中出現(xiàn)的錯(cuò)誤以及查找和改正錯(cuò)誤的過程是最大的收獲,這不僅是對(duì)我知識(shí)的考察,也是一種實(shí)際應(yīng)用能力的證明,剛開始有幾十上百個(gè)錯(cuò)誤,警告,一步步的查找,編譯,在查找,經(jīng)過兩天不間斷的檢查和糾正,程序才算初步成功可編譯,然而對(duì)已仿真的結(jié)果常常又不能盡人意,還要時(shí)不時(shí)的修改程序已達(dá)到期望的目標(biāo),反反復(fù)復(fù),最后下載硬件的時(shí)候更是麻煩,本來仿真是完美的,可就是硬件實(shí)現(xiàn)出問題,這足足花了一下午的時(shí)間檢查錯(cuò)誤,程序基本沒錯(cuò),就是硬件失靈,按了好幾次復(fù)位與保持才使程序正確運(yùn)行,這是對(duì)硬件了解太少所導(dǎo)致的后果,以后學(xué)習(xí)檢查硬件也是我學(xué)習(xí)的重點(diǎn)!3、對(duì)設(shè)計(jì)的建議本設(shè)計(jì)沒有對(duì)拐彎處的路燈進(jìn)行控制,只是在直線上控制,對(duì)于轉(zhuǎn)向燈的控制希望可以加些改進(jìn),以符

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論