六十進(jìn)制計(jì)數(shù)器的設(shè)計(jì)與仿真報(bào)告_第1頁
六十進(jìn)制計(jì)數(shù)器的設(shè)計(jì)與仿真報(bào)告_第2頁
六十進(jìn)制計(jì)數(shù)器的設(shè)計(jì)與仿真報(bào)告_第3頁
六十進(jìn)制計(jì)數(shù)器的設(shè)計(jì)與仿真報(bào)告_第4頁
六十進(jìn)制計(jì)數(shù)器的設(shè)計(jì)與仿真報(bào)告_第5頁
已閱讀5頁,還剩8頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 可編程器件實(shí)驗(yàn)報(bào)告六十進(jìn)制計(jì)數(shù)器姓 名: 學(xué) 號: 班 級: 提交日期: 概要本次實(shí)驗(yàn)主要以學(xué)習(xí)使用max+plusii軟件,編寫六十進(jìn)制計(jì)數(shù)器源程序,并對其進(jìn)行時(shí)序仿真,得到仿真波形。目錄前言第一章 vhdl語言介紹1.1 vhdl發(fā)展史1.2 vhdl的特點(diǎn)第二章 六十進(jìn)制計(jì)數(shù)器的設(shè)計(jì)與仿真2.1 六十進(jìn)制計(jì)數(shù)器源程序2.2 運(yùn)用軟件設(shè)計(jì)過程2.3 時(shí)序仿真體會(huì)前言本項(xiàng)實(shí)驗(yàn)通過六十進(jìn)制計(jì)數(shù)器的設(shè)計(jì)與仿真,學(xué)習(xí)vhdl語言及vhdl文本輸入設(shè)計(jì)方法,編寫六十進(jìn)制計(jì)數(shù)器源程序,應(yīng)用max+plusii軟件進(jìn)vhdl文本輸入設(shè)計(jì)與波形仿真。寫出源程序,并寫出設(shè)計(jì)與仿真過程。第一章 vhdl語言

2、介紹1.1 vhdl發(fā)展史硬件描述語言(hardware description language,hdl)是電子系統(tǒng)硬件行為描述,結(jié)構(gòu)描述,數(shù)據(jù)流描述的語言.目前,利用硬件描述語言可以進(jìn)行數(shù)字電子系統(tǒng)的設(shè)計(jì).隨著研究的深入,利用硬件描述語言進(jìn)行模擬電子系統(tǒng)設(shè)計(jì)或混合電子系統(tǒng)設(shè)計(jì)也正在探索中.國外硬件描述語言種類很多,有的從pascal發(fā)展而來,也有一些從c語言發(fā)展而來.有些hdl成為ieee標(biāo)準(zhǔn),但大部分是企業(yè)標(biāo)準(zhǔn).vhdl來源于美國軍方,其他的硬件描述語言則多來源于民間公司.可謂百家爭鳴,百花齊放.這些不同的語言傳播到國內(nèi),同樣也引起了不同的影響.在我國比較有影響的有兩種硬件描述語言:vh

3、dl語言和verilog hdl語言.這兩種語言已成為ieee標(biāo)準(zhǔn)語言.電子設(shè)計(jì)自動(dòng)化(electronic design automation,eda)技術(shù)的理論基礎(chǔ),設(shè)計(jì)工具,設(shè)計(jì)器件應(yīng)是這樣的關(guān)系:設(shè)計(jì)師用硬件描述語言hdl描繪出硬件的結(jié)構(gòu)或硬件的行為,再用設(shè)計(jì)工具將這些描述綜合映射成與半導(dǎo)體工藝有關(guān)的硬件配置文件,半導(dǎo)體器件fpga則是這些硬件配置文件的載體.當(dāng)這些fpga器件加載,配置上不同的文件時(shí),這個(gè)器件便具有了相應(yīng)的功能.在這一系列的設(shè)計(jì),綜合,仿真,驗(yàn)證,配置的過程中,現(xiàn)代電子設(shè)計(jì)理論和現(xiàn)代電子設(shè)計(jì)方法貫穿于其中.以hdl語言表達(dá)設(shè)計(jì)意圖,以fpga作為硬件實(shí)現(xiàn)載體,以計(jì)算機(jī)

4、為設(shè)計(jì)開發(fā)工具,以eda軟件為開發(fā)環(huán)境的現(xiàn)代電子設(shè)計(jì)方法日趨成熟.在這里,筆者認(rèn)為,要振興我國電子產(chǎn)業(yè),需要各相關(guān)專業(yè)的人士共同努力.hdl語言的語法語義學(xué)研究與半導(dǎo)體工藝相關(guān)聯(lián)的編譯映射關(guān)系的研究,深亞微米半導(dǎo)體工藝與eda設(shè)計(jì)工具的仿真,驗(yàn)證及方法的研究,這需要半導(dǎo)體專家和操作系統(tǒng)專家共同努力,以便能開發(fā)出更加先進(jìn)的eda工具軟件.軟件,硬件協(xié)同開發(fā)縮短了電子設(shè)計(jì)周期,加速了電子產(chǎn)品更新?lián)Q代的步伐.毫不夸張地說,eda工程是電子產(chǎn)業(yè)的心臟起搏器,是電子產(chǎn)業(yè)飛速發(fā)展的原動(dòng)力.本書從應(yīng)用的角度向國內(nèi)廣大讀者介紹vhdl編程技術(shù),讓大家掌握hdl編程,了解fpga結(jié)構(gòu),學(xué)會(huì)使用eda工具,為集成

5、電路前端設(shè)計(jì)打下基礎(chǔ).vhdl語言的英文全名是very high speed integrated circuit hardware description language,即超高速集成電路硬件描述語言.hdl發(fā)展的技術(shù)源頭是:在hdl形成發(fā)展之前,已有了許多程序設(shè)計(jì)語言,如匯編,c,pascal,fortran,prolog等.這些語言運(yùn)行在不同硬件平臺和不同的操作環(huán)境中,它們適合于描述過程和算法,不適合作硬件描述.cad的出現(xiàn),使人們可以利用計(jì)算機(jī)進(jìn)行建筑,服裝等行業(yè)的輔助設(shè)計(jì),電子輔助設(shè)計(jì)也同步發(fā)展起來.在從cad工具到eda工具的進(jìn)化過程中,電子設(shè)計(jì)工具的人機(jī)界面能力越來越高.在利用

6、eda工具進(jìn)行電子設(shè)計(jì)時(shí),邏輯圖,分立電子原件作為整個(gè)越來越復(fù)雜的電子系統(tǒng)的設(shè)計(jì)已不適應(yīng).任何一種eda工具,都需要一種硬件描述語言來作為eda工具的工作語言.這些眾多的eda工具軟件開發(fā)者,各自推出了自己的hdl語言.hdl發(fā)展的社會(huì)根源是:美國國防部電子系統(tǒng)項(xiàng)目有眾多的承包公司,由于各公司技術(shù)路線不一致,許多產(chǎn)品不兼容,他們使用各自的設(shè)計(jì)語言,使得甲公司的設(shè)計(jì)不能被乙公司重復(fù)利用,造成了信息交換困難和維護(hù)困難.美國政府為了降低開發(fā)費(fèi)用,避免重復(fù)設(shè)計(jì),國防部為他們的超高速集成電路提供了一種硬件描述語言,以期望vhdl功能強(qiáng)大,嚴(yán)格,可讀性好.政府要求各公司的合同都用它來描述,以避免產(chǎn)生歧義.

7、由政府牽頭,vhdl工作小組于1981年6月成立,提出了一個(gè)滿足電子設(shè)計(jì)各種要求的能夠作為工業(yè)標(biāo)準(zhǔn)的hdl.1983年第3季度,由ibm公司,ti公司,intermetrics公司簽約,組成開發(fā)小組,工作任務(wù)是提出語言版本和開發(fā)軟件環(huán)境.1986年ieee標(biāo)準(zhǔn)化組織開始工作,討論vhdl語言標(biāo)準(zhǔn),歷時(shí)一年有余,于1987年12月通過標(biāo)準(zhǔn)審查,并宣布實(shí)施,即ieee std 10761987lrm87.1993年vhdl重新修訂,形成了新的標(biāo)準(zhǔn),即ieee std 10761993lrm93.從此以后,美國國防部實(shí)施新的技術(shù)標(biāo)準(zhǔn),要求電子系統(tǒng)開發(fā)商的合同文件一律采用vhdl文檔.即第一個(gè)官方vh

8、dl標(biāo)準(zhǔn)得到推廣,實(shí)施和普及.1.2 vhdl的特點(diǎn)vhdl 語言能夠成為標(biāo)準(zhǔn)化的硬件描述語言并獲得廣泛應(yīng)用 , 它自身必然具有很多其他硬件描述語言所不具備的優(yōu)點(diǎn)。歸納起來 ,vhdl 語言主要具有以下優(yōu)點(diǎn):(1) vhdl 語言功能強(qiáng)大 , 設(shè)計(jì)方式多樣vhdl 語言具有強(qiáng)大的語言結(jié)構(gòu), 只需采用簡單明確的vhdl語言程序就可以描述十分復(fù)雜的硬件電路。同時(shí), 它還具有多層次的電路設(shè)計(jì)描述功能。此外 ,vhdl 語言能夠同時(shí)支持同步電路、異步電路和隨機(jī)電路的設(shè)計(jì)實(shí)現(xiàn), 這是其他硬件描述語言所不能比擬的。vhdl 語言設(shè)計(jì)方法靈活多樣 , 既支持自頂向下的設(shè)計(jì)方式, 也支持自底向上的設(shè)計(jì)方法;

9、既支持模塊化設(shè)計(jì)方法, 也支持層次化設(shè)計(jì)方法。(2) vhdl 語言具有強(qiáng)大的硬件描述能力vhdl 語言具有多層次的電路設(shè)計(jì)描述功能,既可描述系統(tǒng)級電路 , 也可以描述門級電路;描述方式既可以采用行為描述、寄存器傳輸描述或者結(jié)構(gòu)描述,也可以采用三者的混合描述方式。同時(shí),vhdl 語言也支持慣性延遲和傳輸延遲,這樣可以準(zhǔn)確地建立硬件電路的模型。vhdl 語言的強(qiáng)大描述能力還體現(xiàn)在它具有豐富的數(shù)據(jù)類型。vhdl 語言既支持標(biāo)準(zhǔn)定義的數(shù)據(jù)類型,也支持用戶定義的數(shù)據(jù)類型,這樣便會(huì)給硬件描述帶來較大的自由度。(3) vhdl 語言具有很強(qiáng)的移植能力vhdl 語言很強(qiáng)的移植能力主要體現(xiàn)在: 對于同一個(gè)硬件

10、電路的 vhdl 語言描述 , 它可以從一個(gè)模擬器移植到另一個(gè)模擬器上、從一個(gè)綜合器移植到另一個(gè)綜合器上或者從一個(gè)工作平臺移植到另一個(gè)工作平臺上去執(zhí)行。(4) vhdl 語言的設(shè)計(jì)描述與器件無關(guān)采用 vhdl 語言描述硬件電路時(shí), 設(shè)計(jì)人員并不需要首先考慮選擇進(jìn)行設(shè)計(jì)的器件。這樣做的好處是可以使設(shè)計(jì)人員集中精力進(jìn)行電路設(shè)計(jì)的優(yōu)化, 而不需要考慮其他的問題。當(dāng)硬件電路的設(shè)計(jì)描述完成以后 ,vhdl 語言允許采用多種不同的器件結(jié)構(gòu)來實(shí)現(xiàn)。(5) vhdl 語言程序易于共享和復(fù)用vhdl 語言采用基于庫 ( library) 的設(shè)計(jì)方法。在設(shè)計(jì)過程中 , 設(shè)計(jì)人員可以建立各種可再次利用的模塊 , 一

11、個(gè)大規(guī)模的硬件電路的設(shè)計(jì)不可能從門級電路開始一步步地進(jìn)行設(shè)計(jì) , 而是一些模塊的累加。這些模塊可以預(yù)先設(shè)計(jì)或者使用以前設(shè)計(jì)中的存檔模塊, 將這些模塊存放在庫中 , 就可以在以后的設(shè)計(jì)中進(jìn)行復(fù)用。由于 vhdl 語言是一種描述、模擬、綜合、優(yōu)化和布線的標(biāo)準(zhǔn)硬件描述語言 , 因此它可以使設(shè)計(jì)成果在設(shè)計(jì)人員之間方便地進(jìn)行交流和共享, 從而減小硬件電路設(shè)計(jì)的工作量, 縮短開發(fā)周期。第二章 六十進(jìn)制計(jì)數(shù)器的設(shè)計(jì)與仿真2.1 六十進(jìn)制計(jì)數(shù)器源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity c

12、nt60 isport(clk,rst,en:in std_logic;cq:out std_logic_vector(7 downto 0);cout:out std_logic);end cnt60;architecture behav of cnt60 isbegin process(clk,rst,en) variable cqi:std_logic_vector(7 downto 0);begin if rst=1 then cqi:=(others=0); elsif clkevent and clk=1 then if en=1 then if cqi0); end if; en

13、d if; end if;if cqi=59 then cout=1; else cout=0;end if;cq=cqi;end process;end behav;2.2 運(yùn)用軟件設(shè)計(jì)過程步驟1:為本項(xiàng)設(shè)計(jì)建立文件夾首先應(yīng)該建立好工作庫目錄,以便設(shè)計(jì)工程項(xiàng)目的存儲(chǔ)。在此設(shè)立目錄為: e:cnt60file ,作為工作庫。以便將設(shè)計(jì)過程中的相關(guān)文件存儲(chǔ)在此。步驟2:輸入設(shè)計(jì)項(xiàng)目和存盤打開 max+plusii, 選擇菜單“file”_“new”,出現(xiàn)如圖1所示的對話框,在框中選中“text editor file”,按“ok”按鈕,即選中了文本編輯方式。在出現(xiàn)的“untitled text

14、editor” 文本編輯窗(圖2)中鍵入vhdl程序(六十進(jìn)制計(jì)數(shù)器),輸入完畢后,選擇菜單“file_save”,即出現(xiàn)如圖2 所示的“save as”對話框。首先在“directories”目錄框中選擇自己已建立好的存放本文件的目錄e:cnt60file(用鼠標(biāo)雙擊此目錄,使其打開),然后在“file name”框中鍵入文件名cnt60.vhd,按“ok”按鈕,即把輸入的文件放在e: cnt60file中了。注意:原理圖輸入設(shè)計(jì)方法中,存盤的原理圖文件名可以是任意的,但vhdl程序文本存盤的文件名必須與文件的實(shí)體名一致,如cnt60.vhd 圖1 建立文本編輯器對話框 圖2 在文本編輯窗口

15、中輸入vhdl文件并存盤步驟3:將設(shè)計(jì)項(xiàng)目設(shè)置成工程文件(project)需要特別注意的是,在編譯/綜合cnt60.vhd之前,需要設(shè)置此文件為頂層文件(最上層文件),或稱工程文件:project,或者說將此項(xiàng)設(shè)計(jì)設(shè)置成工程。選擇菜單“file”_project_“set project to current file”,當(dāng)前的設(shè)計(jì)工程即被指定為cnt60。也可以通過選“file”_“project”_“name”,在跳出的“project name”窗中指定e:cnt60file下的cnt60.vhd為當(dāng)前的工程。設(shè)定后可以看見max+plusii 主窗左上方(圖3)的工程項(xiàng)目路徑指向?yàn)椋骸?/p>

16、e:cnt60filemux21a”。這個(gè)路徑指向很重要!圖3 設(shè)定當(dāng)前文件為工程步驟4:選擇目標(biāo)器件并編譯在設(shè)定工程文件后,應(yīng)該選擇用于編程的目標(biāo)芯片:選擇菜單“assign”_“device”,在彈出的對話框中的“device family”下拉欄中,選擇max7000,然后在“devices”列表框中選擇芯片型號“auto”,按ok。圖3 選定當(dāng)前工程的目標(biāo)器件在設(shè)計(jì)中,設(shè)定某項(xiàng)vhdl設(shè)計(jì)為工程應(yīng)該注意以下3方面的問題:1、如果設(shè)計(jì)項(xiàng)目由多個(gè)vhdl 文件組成,應(yīng)先對各低層次文件(元件)分別進(jìn)行編輯、設(shè)置成工程、編譯、綜合、乃至仿真測試并存盤后以備后用。2、最后將定頂層文件(存在同一目

17、錄中)設(shè)置為工程,統(tǒng)一處理,這時(shí)頂層文件能根據(jù)例化語句自動(dòng)調(diào)用底層設(shè)計(jì)文件。3、在設(shè)定頂層文件為工程后,底層設(shè)計(jì)文件原來設(shè)定的元件型號和引腳鎖定信息自動(dòng)失效。元件型號的選定和引腳鎖定情況始終以工程文件(頂層文件)的設(shè)定為準(zhǔn)。同樣,仿真結(jié)果也是針對工程文件的。所以在對最后的頂層文件處理時(shí),仍然應(yīng)該對它重新設(shè)定元件型號和引腳鎖定(引腳鎖定只有在最后硬件測試時(shí)才是必須的)。如果需要對特定的底層文件(元件)進(jìn)行仿真,只能將某底層文件(元件)暫時(shí)設(shè)定為工程,進(jìn)行功能測試或時(shí)序仿真。步驟5:編譯源程序選擇“max+plusii”下的compiler進(jìn)行編譯。如有錯(cuò)就糾錯(cuò)。直至顯示如下:圖4 編譯程序2.3 時(shí)序仿真

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論