畢業(yè)論文28705教材_第1頁(yè)
畢業(yè)論文28705教材_第2頁(yè)
畢業(yè)論文28705教材_第3頁(yè)
畢業(yè)論文28705教材_第4頁(yè)
畢業(yè)論文28705教材_第5頁(yè)
已閱讀5頁(yè),還剩25頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、江蘇技術(shù)師范學(xué)院繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文)題目數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)專業(yè)應(yīng)用電子技術(shù)姓名 XX 學(xué)號(hào) 01223002 指導(dǎo)老師 XXX 起訖日期2014 年 3 月 25 日江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)萬(wàn)學(xué)超【摘要】數(shù)據(jù)采集系統(tǒng)是用計(jì)算機(jī)控制的多路數(shù)據(jù)自動(dòng)檢測(cè)或巡回檢測(cè),并且能夠?qū)?shù)據(jù)實(shí)行存儲(chǔ)、本設(shè)計(jì)對(duì)數(shù)據(jù)采集系統(tǒng)作了基本的研究。本系統(tǒng)主要解決的是數(shù)據(jù)采集。關(guān)鍵詞 】數(shù)據(jù)采集 A/D 轉(zhuǎn)換 模擬量 數(shù)字量江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))目錄摘要 1引言 4第一章計(jì)算機(jī)硬件系統(tǒng)概述 51.1 計(jì)算機(jī)硬件系統(tǒng)的組成 5江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))1.2I/O 系統(tǒng)概述 61.

2、3 計(jì)算機(jī)硬件系統(tǒng)的設(shè)計(jì)內(nèi)容 71.4 計(jì)算機(jī)硬件系統(tǒng)的設(shè)計(jì)原則 7第二章數(shù)據(jù)采集技術(shù)概述 82.1 數(shù)據(jù)采集系統(tǒng)的結(jié)構(gòu)原理 82.2 數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)的基本原則 9第三章數(shù)據(jù)采集系統(tǒng)的硬件設(shè)計(jì) 113.1 系統(tǒng)結(jié)構(gòu)框圖113.2 系統(tǒng)工作原理11第四章 數(shù)據(jù)采集系統(tǒng)的軟件設(shè)計(jì)184.1匯編和 keli c184.2使用 Keil C 時(shí)應(yīng)注意的事項(xiàng)184.3系統(tǒng)整體程序流程184.4系統(tǒng)各個(gè)模塊流程圖19結(jié)論22致謝23參考文獻(xiàn)24引言現(xiàn)如今在生產(chǎn)生活的各個(gè)領(lǐng)域,數(shù)據(jù)采集系統(tǒng)幾乎無(wú)處不在,凡是有自動(dòng)監(jiān)測(cè)及控 制的地方都會(huì)有數(shù)據(jù)采集系統(tǒng)的身影出現(xiàn);從簡(jiǎn)單到復(fù)雜,從空中、地面到地下,凡 是能想象

3、到的地方都有使用數(shù)據(jù)采集系統(tǒng)的需求。因此,對(duì)本課題的研究有極 其廣闊的發(fā)展前景和巨大的經(jīng)濟(jì)價(jià)值。數(shù)據(jù)采集系起始于 20世紀(jì) 50年代,由于 數(shù)據(jù)采集測(cè)試系統(tǒng)具有高速性和一定的靈活性,可滿足眾多傳統(tǒng)方法不能完成江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))的數(shù)據(jù)采集和測(cè)試任務(wù),因而得到了初步的認(rèn)可。了 70年代中后期,數(shù)據(jù)采集系 統(tǒng)發(fā)展過(guò)程中逐漸分為兩類,一類是實(shí)驗(yàn)室數(shù)據(jù)采集系統(tǒng)另一類是工業(yè)現(xiàn)場(chǎng)數(shù)據(jù)采集系 統(tǒng)。就使用的總線而言,實(shí)驗(yàn)室數(shù)據(jù)采集系統(tǒng)多采用并行總線工業(yè)現(xiàn)場(chǎng)數(shù)據(jù)采集系統(tǒng)多采 用串行數(shù)據(jù)總線。隨著微型機(jī)的發(fā)展,誕生了采集器、儀表同計(jì)算機(jī)溶為一體的數(shù)據(jù)采集 系統(tǒng)。由于這種數(shù)據(jù)采集系統(tǒng)的性能優(yōu)良,超過(guò)了傳

4、統(tǒng)的自動(dòng)檢測(cè)儀表和專用數(shù)據(jù)采集系 統(tǒng),因此獲得了驚人的發(fā)展。第一章 計(jì)算機(jī)硬件系統(tǒng)概述計(jì)算機(jī)硬件是在指令的控制下自動(dòng)對(duì)數(shù)字進(jìn)行操作,并將數(shù)字信息與其他 形式信息進(jìn)行相互轉(zhuǎn)換的機(jī)器系統(tǒng),是一個(gè)高度復(fù)雜的由多種電子線路、精密 機(jī)械裝置等構(gòu)成的能自動(dòng)并高速完成數(shù)據(jù)計(jì)算的裝置或者工具。本章將簡(jiǎn)單的 介紹一下計(jì)算機(jī)硬件系統(tǒng)的基本組成和設(shè)計(jì)過(guò)程。江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))1 1 計(jì)算機(jī)硬件系統(tǒng)的組成及結(jié)構(gòu)原始的馮諾依曼計(jì)算機(jī)在結(jié)構(gòu)上是以運(yùn)算器為中心的,而發(fā)展到現(xiàn)在,已轉(zhuǎn)向以存儲(chǔ)器為中心,圖 1-1 為計(jì)算機(jī)最基本的組成框圖。外設(shè)主機(jī)圖 1-1 計(jì)算機(jī)的組成框 圖(1)輸入設(shè)備 輸入設(shè)備的任務(wù)是把人們

5、編好的程序和原始數(shù)據(jù)送到計(jì)算機(jī)中去,并且將 它們轉(zhuǎn)換成計(jì)算機(jī)內(nèi)部所能識(shí)別和接受的信息方式。(2)輸出設(shè)備 輸出設(shè)備的任務(wù)是將計(jì)算機(jī)的處理結(jié)果以人或其他設(shè)備所能接受的形式送 出計(jì)算機(jī)。(3)存儲(chǔ)器 存儲(chǔ)器是用來(lái)存放程序和數(shù)據(jù)的部件,它是一個(gè)記憶裝置,也是計(jì)算機(jī)能 夠?qū)崿F(xiàn)“存儲(chǔ)程序控制”的基礎(chǔ)。(4)運(yùn)算器 運(yùn)算器使對(duì)信息進(jìn)行處理和運(yùn)算的部件。經(jīng)常進(jìn)行的運(yùn)算使算術(shù)運(yùn)算和邏 輯運(yùn)算 ,所以運(yùn) 算器又稱 算術(shù)邏 輯運(yùn)算 部件( Arighmetic and Logical Unit,ALU )。(5)控制器江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))控制器是整個(gè)計(jì)算機(jī)的指揮中心,它的主要功能是按照人們預(yù)選取確定的

6、 操作步驟,控制整個(gè)計(jì)算機(jī)的各部件有條不紊的自動(dòng)工作。12 I/O 系統(tǒng)概述在以計(jì)算機(jī)為控制核心的硬件系統(tǒng)中, CPU和存儲(chǔ)器一般封裝在主機(jī)中, 計(jì) 算機(jī)系統(tǒng)與其他硬件設(shè)備信息的傳輸是通過(guò) I/O 系統(tǒng)來(lái)完成的,因此 I/O 系統(tǒng) 的設(shè)計(jì)是硬件系統(tǒng)設(shè)計(jì)的焦點(diǎn),下面介紹一下 I/O 系統(tǒng)基本組成和功能。( 1)I/O 系統(tǒng)的組成I/O 系統(tǒng)包括外部設(shè)備 (輸入輸出設(shè)備和輔助存儲(chǔ)器 ) 及其與主機(jī) (CPU和存 儲(chǔ)器) 之間的控制部件。控制部件稱之為設(shè)備控制器,或設(shè)備適配器, I/O 模塊 或接口,其結(jié)構(gòu)如圖 1-2 。2)I/O 模塊的功能圖 1-2 接口與主機(jī)、外設(shè)間的連接示意I/O 模塊是連

7、接主機(jī)( CPU、主存)和外部設(shè)備的橋梁,因此它必須有計(jì)算 機(jī)的內(nèi)接接口和計(jì)算機(jī)的外接接口。( 3)I/O 設(shè)備的編址為了 CPU便于對(duì) I/O 設(shè)備進(jìn)行尋址和選擇, 必須給眾多的 I/O 設(shè)備進(jìn)行編 址,也就是給每一臺(tái)設(shè)備規(guī)定一些地址碼,稱為設(shè)備號(hào)或設(shè)備代碼。( 4)I/O 接口類型 按照數(shù)據(jù)傳送的寬度可分為并行接口和串行接口。按照數(shù)據(jù)傳送的控制方 式可分成程序控制輸入輸出接口,程序中斷輸入輸出接口和直接存儲(chǔ)器存取 (DMA)接口等。( 5)I/O 技術(shù)江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))常用的輸入輸出方式有五種,包括:程序直接控制(編程 I/O )、程序中斷 控制(中斷驅(qū)動(dòng) I/O )、存儲(chǔ)器

8、直接存?。?DMA)、通道方式、外圍處理機(jī)方式。 在實(shí)際硬件系統(tǒng)設(shè)計(jì)種,較常用的是程序直接控制方式和中斷方式。1 3 計(jì)算機(jī)硬件系統(tǒng)的設(shè)計(jì)內(nèi)容計(jì)算機(jī)硬件系統(tǒng)的設(shè)計(jì)任務(wù):電路設(shè)計(jì)、可編程器件的邏輯設(shè)計(jì)、專用集 成電路設(shè)計(jì)、指令系統(tǒng)設(shè)計(jì)、計(jì)算機(jī)系統(tǒng)設(shè)計(jì)、微程序控制設(shè)計(jì)、匯編語(yǔ)言程 序設(shè)計(jì)、設(shè)備驅(qū)動(dòng)程序設(shè)計(jì)和系統(tǒng)仿真和調(diào)試的測(cè)試??傊?,凡是實(shí)現(xiàn)“操作 數(shù)字”的任務(wù),以及以上層軟件如何調(diào)用這些基本操作所涉及的軟硬件接口, 都是硬件設(shè)計(jì)的內(nèi)容。1 4 計(jì)算機(jī)硬件系統(tǒng)的設(shè)計(jì)原則計(jì)算機(jī)硬件系統(tǒng)的設(shè)計(jì)要遵循兩個(gè)原則:(1)加快經(jīng)常性事件即加快發(fā)生最頻繁、占時(shí)間最多的事件。(2)性能平衡原則即 CPU、內(nèi)存、

9、I/O 的性能要相互匹配才是一個(gè)性能平衡的系統(tǒng),不平衡就 會(huì)出現(xiàn)瓶頸。江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))第二章 數(shù)據(jù)采集技術(shù)概述數(shù)據(jù)采集技術(shù)是微型計(jì)算機(jī)應(yīng)用技術(shù)的重要分支。 外部現(xiàn)實(shí)對(duì)象 ( 廣義的外 部設(shè)備 )通過(guò)接口和計(jì)算機(jī)交換信息,在現(xiàn)實(shí)對(duì)象中信息表現(xiàn)為不同的形式并 有明確的物理意義,輸入到計(jì)算機(jī)內(nèi)部后部變成二進(jìn)制數(shù),統(tǒng)稱為數(shù)據(jù)。數(shù)據(jù) 經(jīng)過(guò)計(jì)算機(jī)的加工處理再作用到現(xiàn)實(shí)對(duì)象,又變成具體的物理信號(hào)。上述整個(gè) 過(guò)程部可以看成是數(shù)據(jù)采集技術(shù)涵蓋的內(nèi)容。2 1 數(shù)據(jù)采集系統(tǒng)的結(jié)構(gòu)原理數(shù)據(jù)采集系統(tǒng)一般包括模擬信號(hào)的輸入輸出通道和數(shù)字信號(hào)的輸入輸出通 道。數(shù)據(jù)采集系統(tǒng)的輸入又稱為數(shù)據(jù)的收集;數(shù)據(jù)采集系統(tǒng)

10、的輸出又稱為數(shù)據(jù) 的分配。2 11 數(shù)據(jù)采集系統(tǒng)的分類數(shù)據(jù)采集系統(tǒng)的結(jié)構(gòu)形式多種多樣,用途和功能也各不相同,常見(jiàn)的分類 方法有以下幾種:根據(jù)數(shù)據(jù)采集系統(tǒng)的功能分類:數(shù)據(jù)收集和數(shù)據(jù)分配;根據(jù) 數(shù)據(jù)采集系統(tǒng)適應(yīng)環(huán)境分類:隔離型和非隔離型,集中式和分布式,高速、中 速和低速型;根據(jù)數(shù)據(jù)采集系統(tǒng)的控制功能分類:智能化數(shù)據(jù)采集系統(tǒng),非智 能化數(shù)據(jù)采集系統(tǒng);根據(jù)模擬信號(hào)的性質(zhì)分類:電壓信號(hào)和電流信號(hào),高電平 信號(hào)和低電平信號(hào),單端輸入 (SE) 和差動(dòng)輸入 (DE),單極性和雙極性;根據(jù)信 號(hào)通道的結(jié)構(gòu)方式分類:?jiǎn)瓮ǖ婪绞?,多通道方式? 12 數(shù)據(jù)采集系統(tǒng)的基本功能數(shù)據(jù)采集系統(tǒng)具有以下幾方面的功能 :

11、數(shù)據(jù)采集、模擬信號(hào)處理、數(shù)字信號(hào) 處理、開(kāi)關(guān)信號(hào)處理、二次數(shù)據(jù)計(jì)算、屏幕顯示、數(shù)據(jù)存儲(chǔ)、打印輸出、人機(jī) 聯(lián)系。10江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))2 13 數(shù)據(jù)采集系統(tǒng)的結(jié)構(gòu)形式從硬件力向來(lái)看,白前數(shù)據(jù)采集系統(tǒng)的結(jié)構(gòu)形式主要有兩種:一種是微型 計(jì)算機(jī)數(shù)據(jù)采集系統(tǒng);另一種是集散型數(shù)據(jù)采集系統(tǒng)。2 2 數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)的基本原則對(duì)于不同的采集對(duì)象,系統(tǒng)設(shè)計(jì)的具體要求是不相同的。但是,由于數(shù)據(jù) 采集系統(tǒng)是由硬件和軟件兩部分組成的,因此,系統(tǒng)設(shè)計(jì)的一些基本原則是大 體相同的 2 。2 21 硬件設(shè)計(jì)的基本原則(1) 經(jīng)濟(jì)合理 系統(tǒng)硬件設(shè)計(jì)中,一定要注意在滿足件能指標(biāo)的前提下,盡可能地降低價(jià) 格,以便得

12、到高的性能價(jià)格比,這是硬件設(shè)計(jì)中優(yōu)先考慮的一個(gè)主要因素,也 是一個(gè)產(chǎn)品爭(zhēng)取市場(chǎng)的主要因素之一。(2) 安全可靠 選購(gòu)設(shè)備要考慮環(huán)境的溫度、濕度、壓力、振動(dòng)、粉塵等要求,以保認(rèn)在 規(guī)定的下作環(huán)境下,系統(tǒng)性能穩(wěn)定、工作可靠。要有超量程和過(guò)載保護(hù),保證 輸入、輸出通道正常工作。要注意對(duì)交流市電以及電火花等的隔離。要保證連 接件的接觸可靠。(3) 足夠的抗干擾能力 有完善的抗干擾措施,是保證系統(tǒng)精度、工作正常和不產(chǎn)生錯(cuò)誤的必要條 件。2 22 軟件設(shè)計(jì)的基本原則(1) 結(jié)構(gòu)合理即程序應(yīng)該采用結(jié)構(gòu)模塊化設(shè)計(jì)。(2) 操作性能好即操作件能好是指使用方便。(3) 系統(tǒng)應(yīng)設(shè)計(jì)一定的檢測(cè)程序,以便系統(tǒng)發(fā)生故障時(shí)

13、容易確定故障部位, 以防止因掉電而丟失數(shù)據(jù)。1011江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))(4) 提高程序的執(zhí)行速度。(5) 給出必要的程序說(shuō)明。1112江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))第三章 數(shù)據(jù)采集系統(tǒng)的硬件設(shè)計(jì)3 1 系統(tǒng)結(jié)構(gòu)框圖數(shù)據(jù)采集系統(tǒng)的主要分為以下幾個(gè)模塊:數(shù)據(jù)采集轉(zhuǎn)換模塊、數(shù)據(jù)存儲(chǔ)模塊、鍵盤控制模塊、時(shí)鐘模塊、液晶顯示模塊、串口通信模塊。其中數(shù)據(jù)采集圖 3-1 系統(tǒng)模塊模塊包括 AD電壓信號(hào)量采集和系統(tǒng)工作溫度采集。各模塊關(guān)系圖如圖3-13 2 系統(tǒng)工作原理圖 3-2 系統(tǒng)總原理圖321 CPU 處理核心模塊1213江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))AT89C52是一種高性能低功耗的采

14、用 CMOS工藝制造的 8 位微控制器,擁有 8KB的可編程的閃存。它提供下列標(biāo)準(zhǔn)特征: 8K字節(jié)的閃速存儲(chǔ)器, 56 字節(jié)的 RAM,32條 I/O 線,3個(gè)16位定時(shí)器/計(jì)數(shù)器,一個(gè)六中斷源兩個(gè)優(yōu)先級(jí)的中斷 結(jié)構(gòu),一個(gè)雙工的串行口,片上震蕩器和時(shí)鐘電路。其管腳圖如圖 3-3 所示:圖 3-3 8051 芯片管腳圖引腳說(shuō)明:VCC:電源電壓; GND地: ; P0口: P0口是一組 8 位漏極開(kāi)路型雙向 I/O 口, 作為輸出口用時(shí),每個(gè)引腳能驅(qū)動(dòng) 8 個(gè) TTL邏輯門電路; P1口:P1 口是一帶有 內(nèi)部上拉電阻的 8位雙向 I/O 口。 P1口的輸出緩沖能接受或輸出 4個(gè) TTL邏輯 門

15、電路; P2口:P2是一帶有內(nèi)部上拉電阻的 8 位雙向的 I/O 端口。 P2口的輸出 緩沖能驅(qū)動(dòng) 4個(gè)TTL邏輯門電路。 P3口:P3是一帶有內(nèi)部上拉電阻的 8位雙向 的 I/O 端口。 P3 口的輸出緩沖能驅(qū)動(dòng) 4 個(gè) TTL 邏輯門電路; RST:復(fù)位輸入; ALE/PROG : 當(dāng)訪問(wèn)外部存儲(chǔ)器時(shí),地址鎖存允許是一輸出脈沖,用以鎖存地址 的低 8 位字節(jié)。當(dāng)在 Flash 編程時(shí)還可以作為編程脈沖輸出( PROG ); PSEN : 程序存儲(chǔ)允許時(shí)外部程序存儲(chǔ)器的讀選通信號(hào); EA/V PP: 外部訪問(wèn)允許; XTAL1: 振蕩器反相放大器以及內(nèi)部時(shí)鐘電路的輸入端; XTAL2:振蕩器

16、反相放大器的輸 出端。本系統(tǒng)中,采用 AT89C52 作為 CPU處理器,充分利用其硬件資源,結(jié)合 74ls373 鎖存器, 7404 、7402、74138等數(shù)字處理芯片,連接了各個(gè)硬件模塊。1314江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))地址分配如下:ADC0809地址: 0111 1000 0000 0000B-0111 1111 XXXX XXXXB322 ADC模數(shù)轉(zhuǎn)換1. ADC0809 主要特性ADC0809是 雙列直插式單片 8 位 A/D 轉(zhuǎn)換器。分辨率 8 位,精度 7 位, 帶 8 個(gè)模擬量輸入通道,有通道地址譯碼鎖存器,輸出帶三態(tài)數(shù)據(jù)鎖存器。啟 動(dòng)信號(hào)為脈沖啟動(dòng)方式,最大可調(diào)節(jié)

17、誤差為 1LSB, ADC0809內(nèi) 部設(shè)有時(shí)鐘電 路,故 CLK 時(shí)鐘需由外部輸入, fclk 允許范圍為 500kHz1MH,z典型值為 640kHz。 每通道的轉(zhuǎn)換需 6673 個(gè)時(shí)鐘脈沖,大約 100110s。工作溫度范圍為 -40 +85。功耗為 15mW,輸入電壓范圍為 05V,單一+5V 電源供電。它可以接與 Z80、8085、 8080、8031 等 CPU 相連,也可以獨(dú)立使用。2. ADC0809 內(nèi)部結(jié)構(gòu)和外部引腳ADC0809A/D轉(zhuǎn) 換器,其內(nèi)部結(jié)構(gòu)如圖 3-4 所示。包括一個(gè)高阻抗斬波比較 器;一個(gè)帶有 256 個(gè)電阻分壓器的樹(shù)狀開(kāi)關(guān)網(wǎng)絡(luò);一個(gè)邏輯控制環(huán)節(jié)和 8 位

18、逐引腳功能介紹如下:次比較寄存器 (SAR);一個(gè) 8 位三態(tài)輸出緩沖器圖 3-4 ADC0809內(nèi)部結(jié)構(gòu)和外部引腳圖A 、 IN0IN8 :8 路輸入通道的模擬量輸入端,輸入;B、 2-12-8 :8 位數(shù)字量輸出端;2-1 為最低位 (LSB),2-8 為最高位 (MSB), 輸出,三態(tài);1415江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))C、ALE:地址鎖存控制信號(hào),輸入,上升沿有效。將地址選擇信號(hào) A、B、C 鎖入地址寄存器;D、START:?jiǎn)?dòng) A/D 轉(zhuǎn)換控制信號(hào),輸入,上升沿有效。當(dāng)輸入一個(gè)正脈 沖,便立即啟動(dòng) A/D 轉(zhuǎn)換,同時(shí)使 EOC變 為低電平;E、EOC:A/D 轉(zhuǎn)換結(jié)束信號(hào),輸出

19、,高電平有效。 EOC由 低電平變?yōu)楦唠?平,表明本次 A/D 轉(zhuǎn)換已經(jīng)結(jié)束;F、OE:輸出允許控制信號(hào),輸入,高電平有效。 OE 由低電平變?yōu)楦唠娖剑?打開(kāi)三態(tài)輸出鎖存器,將轉(zhuǎn)換的結(jié)果輸出到數(shù)據(jù)總線上;G、VREF(-)、VREF(+):片內(nèi) D/A 轉(zhuǎn)換器的參考電壓輸入端。 VREF(-) 不能 為負(fù)值, VREF(+)不能高于 VCC, 且 1/2VREF(-)+VREF(+) 與 1/2VCC 之差不得大于 0.1V ;H、CLOC:K時(shí)鐘輸入端。 500kHz1MH,z 典型值為 640kHz。I、A、B、C:8 路模擬開(kāi)關(guān)的 3 位地址選通輸入端,其對(duì)應(yīng)關(guān)系如表 3-2 所示。表

20、3-2 8 路模擬開(kāi)關(guān)地址選通輸入端ADC0809時(shí) 序圖如圖 3-5 所示。其中, tWS:最小起動(dòng)脈寬,典型值為 100ns,最大值為 200ns; tWE:最小 ALE 脈寬,典型值為 100ns,最大值為 200ns; tD:模擬開(kāi)關(guān)延時(shí),典型值為 1s,最大值為 2.5 s; tC :轉(zhuǎn)換時(shí)間,當(dāng) fclk=640kHz 時(shí),典型值為 100s,最大值為 116s; tEOC:轉(zhuǎn)換結(jié)束延時(shí),最大位 8 個(gè)時(shí)鐘周期加 2 s。本系統(tǒng)采用中斷連接的原理圖如圖 3-61516江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))圖 3-5 ADC0809 時(shí)序圖S18T1A1EOC KI3RQ74LS0474L

21、S04C5 VCC 3 1199P10P00P11P01P12P02P13P03P14P04P15P05P16P06P17P07INT1P20INT0P21P22T1P23T0P24P25EA/VPP26P27X1X2RESETRXDTXDRDALE/PWRPSENU139 D0C2 1 321 A84 1 224 A11102915EN1A4BLE38 D1C37 D2C16 813 514 611 327 P2628 P279125 A1226 P2522 A923 A101130 CLK36 D3C35 D4C34 D5C33 D6C32 D7CRD 1 7W1R612U111PRE1C

22、LK1D1CLR2PRE2CLK2D2CLR1Q1Q2Q2Q74L1A69610U2msb2-1IN-02-22-3IN-12-42-5IN-22-62-7IN-3lsb2 -8IN-4EOCIN-5ADD-AADD-BIN-6ADD-CIN-7ALEENABLEref(-)ref(+)STARTCLOCK21EO7CA2322A025A1244A 18D3A 8D2A 15D1A 14D0A 172 6 IN02 7 IN12 8 IN21 IN32 IN43 IN54 IN65 IN716125V圖 3-6 中斷連接的原理圖此時(shí)啟動(dòng) A/D 轉(zhuǎn)換只需MOVX指令。例如:MOVDPTR,#0

23、000H;送入 0809的口地址,選擇 IN0 通道MOVX DPTR,A;啟動(dòng)轉(zhuǎn)換轉(zhuǎn)換完成后,將會(huì)給 8051 一個(gè)中斷,此時(shí)只要在中斷程序中保存數(shù)據(jù)即可。3 23 液晶顯示流程本系統(tǒng)的顯示部分采用 RT1602c字符顯示模塊,與采用數(shù)碼管相比,硬件連接和軟件調(diào)試上都由優(yōu)勢(shì)。只要把要顯示的內(nèi)容放進(jìn)液晶模塊的顯示存儲(chǔ)器 里面就可以直觀的顯示出指定的內(nèi)容,操作方便。1617江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))1602 采用標(biāo)準(zhǔn)的 14腳接口,其中 :第 1 腳:VSS為地電源。第 2 腳:VDD接 5V 正電源。第 3 腳:V0為液晶顯示器對(duì)比度調(diào)整端。第 4 腳:RS為寄存器選擇,高電平時(shí)選擇數(shù)據(jù)

24、寄存器、低電平時(shí)選擇指令寄存器。 第 5 腳:RW為讀寫信號(hào)線,高電平時(shí)進(jìn)行讀操作,低電平時(shí)進(jìn)行寫操作。當(dāng) RS和 RW共同為低電平時(shí)可以寫入指令或者顯示地址,當(dāng)RS為低電平RW為高電平時(shí)可以讀忙信號(hào),當(dāng)RS為高電平 RW為低電平時(shí)可以寫入數(shù)據(jù)。第 6 腳: E端為使能端,當(dāng) E端由高電平跳變成低電平時(shí),液晶模塊執(zhí)行 命令。第 714腳: D0D7為 8位雙向數(shù)據(jù)線。 第 1516 腳:空腳C5C6VCCP10P00P11P01P12P02P13P03P14P04P15P05P16P06P17P07INT1P20INT0P21P22T1P23T0P24P25EA/VPP26P27X1X2RES

25、ETRXDTXDRDALE/PWRPSENU112345678132 1 A8122 2 A915EN1A4BLE2 6 P253193 0 CLK2 7 P262 8 P272 3 A102 4 A11RD 1 7W1R62 5 A12191810113 9 D0C3 8 D1C3 7 D2C3 6 D3C3 5 D4C3 4 D5C3 3 D6C3 2 D7C123A114A125ENA6BLED0E7D1E8VCCGNDBL2VDDBL1VOD7RSD6RWD5ED4D0D3D1D2R31602C1 3 D6E1 2 D5E1 1 D4E1 0 D3EVCC9 D2E圖 3-7 液晶顯示

26、原理圖 該模塊的硬件原理圖如圖 3-7 所示。 1602C 的數(shù)據(jù)口接單片機(jī)的 P0口,使 能端 E 接 P2.5,液晶的 RS端接 P2.3 ,讀寫端 RW接 P2.4,這樣使用下面的子程 序就可以實(shí)現(xiàn)寫入命令和數(shù)據(jù):RS=1; / 置 1 為寫入數(shù)據(jù),置 0 為寫入命令RW=0; / 讀寫端置 0 為寫命令, 即將命令或數(shù)據(jù)寫入液晶的數(shù)據(jù) 命令寄存器1718江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))E=1; /P0=l_data; /E=0; / delay(); /把數(shù)據(jù)賦給 P0數(shù)據(jù)口在使能端下降沿時(shí)將數(shù)據(jù)寫入液晶的存儲(chǔ)器 寫的過(guò)程要有數(shù)個(gè)延時(shí)1819江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))第四章 數(shù)據(jù)

27、采集系統(tǒng)的軟件設(shè)計(jì)4 1 匯編和 keil c匯編語(yǔ)言是一種用文字助記符來(lái)表示機(jī)器指令的符號(hào)語(yǔ)言,是最接近機(jī)器 碼的一種語(yǔ)言。其主要優(yōu)點(diǎn)是占用資源少、程序執(zhí)行效率高。但是不同的CPU,其匯編語(yǔ)言可能有所差異,所以不易移植。C語(yǔ)言是一種編譯型程序設(shè)計(jì)語(yǔ)言, 它兼顧了多種高級(jí)語(yǔ)言的特點(diǎn), 并具備 匯編語(yǔ)言的功能。KEIL uVISION2 是眾多單片機(jī)應(yīng)用開(kāi)發(fā)軟件中優(yōu)秀的軟件之一,它支持眾 多不同公司的 MCS51架 構(gòu)的芯片,它集編輯,編譯,仿真等于一體,同時(shí)還支 持,PLM,匯編和 C 語(yǔ)言的程序設(shè)計(jì),它的界面和常用的微軟 VC+的界面相似, 界面友好,易學(xué)易用,在調(diào)試程序,軟件仿真方面也有很

28、強(qiáng)大的功能。因此本 系統(tǒng)采用 KEIL uVISION2 進(jìn)行軟件的編寫和調(diào)試。42 使用 Keil C 時(shí)應(yīng)注意的事項(xiàng)1. 采用短變量已提高代碼效率2. 使用無(wú)符號(hào)類型為什么要使用無(wú)符號(hào)類型呢, 原因是 8051 不支持符號(hào)運(yùn) 算,程序中也不要使用含有帶符號(hào)變量的外部代碼。3. 避免使用浮點(diǎn)指針可以通過(guò)提高數(shù)值數(shù)量級(jí)和使用整型運(yùn)算來(lái)消除浮點(diǎn) 指針。4. 用局部變量代替全局變量即把變量定義成局部變量比全局變量更有效 率。4 3 系統(tǒng)整體程序流程該系統(tǒng)的整體程序流程圖如圖 4-1 所示。1920圖 4-1 系統(tǒng)整體程序流程圖 按照系統(tǒng)整體流程圖使用 keil c 編寫系統(tǒng)程序 4.4 系統(tǒng)各個(gè)模

29、塊流程圖4 41 ADC 模數(shù)轉(zhuǎn)換流程 ADC模數(shù)轉(zhuǎn)換流程流程圖如 4-2 所示。2021江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))4-2 Adc0809 中斷處理程序流程圖44 2 液晶顯示模塊對(duì)字符顯示模塊 RT1602C的編程較簡(jiǎn)單,只要先輸入命令字,設(shè)置其工作初始化模塊顯示方式等待更新顯示內(nèi)容寫入顯示寄存器地址寫入顯示數(shù)據(jù)圖 4-3 液晶顯示程序流程圖方式,然后在將顯示數(shù)據(jù)輸入指定的存儲(chǔ)器位置即可。流程圖如圖4-3。2122江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))4 4 3 鍵盤處理模塊鍵盤處理模塊子程序流程圖4 44 定時(shí)器中斷響應(yīng)處理程序定時(shí)器中斷響應(yīng)處理程序2223江蘇技術(shù)師范學(xué)院畢業(yè)論文(設(shè)計(jì))結(jié)論本設(shè)計(jì)通過(guò)采用單片機(jī)實(shí)現(xiàn)數(shù)據(jù)的采集 .處

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論