畢業(yè)設(shè)計(jì)(論文)基于AT89C52單片機(jī)的液晶顯示的數(shù)字鐘的設(shè)計(jì)與實(shí)現(xiàn)_第1頁
畢業(yè)設(shè)計(jì)(論文)基于AT89C52單片機(jī)的液晶顯示的數(shù)字鐘的設(shè)計(jì)與實(shí)現(xiàn)_第2頁
畢業(yè)設(shè)計(jì)(論文)基于AT89C52單片機(jī)的液晶顯示的數(shù)字鐘的設(shè)計(jì)與實(shí)現(xiàn)_第3頁
畢業(yè)設(shè)計(jì)(論文)基于AT89C52單片機(jī)的液晶顯示的數(shù)字鐘的設(shè)計(jì)與實(shí)現(xiàn)_第4頁
畢業(yè)設(shè)計(jì)(論文)基于AT89C52單片機(jī)的液晶顯示的數(shù)字鐘的設(shè)計(jì)與實(shí)現(xiàn)_第5頁
已閱讀5頁,還剩17頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、目 錄 摘 要1 關(guān)鍵詞1 abstract1 key words.11. 引言22. 系統(tǒng)總體設(shè)計(jì)方案42.1 系統(tǒng)可實(shí)現(xiàn)的功能42.2 數(shù)字時(shí)鐘的硬件系統(tǒng)框圖52.3各元器件的選用62.3.1 單片機(jī)at89c5262.3.2 時(shí)鐘芯片ds130292.3.3 顯示器的結(jié)103. 系統(tǒng)硬件設(shè)計(jì)113.1單片機(jī)復(fù)位電路的設(shè)計(jì)113.1.1 設(shè)計(jì)原理113.1.2 方案的比較與選擇113.1.3 復(fù)位電路與單片機(jī)的連接113.2單片機(jī)時(shí)鐘電路的設(shè)計(jì)123.2.1振蕩器電路原理123.2.2 ds1302時(shí)鐘電路133.3 顯示器和單片機(jī)的接口電路143.3.1 顯示電路143.3.2 顯示器的

2、接入154. 系統(tǒng)軟件設(shè)計(jì)164.1軟件系統(tǒng)中的主模塊設(shè)計(jì)164.1.1 主模塊的c語言實(shí)現(xiàn)165. 系統(tǒng)的實(shí)現(xiàn)185.1 電路的仿真186. 總結(jié)18參考文獻(xiàn)19致 謝:20液晶顯示的數(shù)字鐘的設(shè)計(jì)與實(shí)現(xiàn)(湖南人文科技學(xué)院 湖南 婁底)摘 要:數(shù)字鐘是一種用數(shù)字電路技術(shù)實(shí)現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比具有更高的準(zhǔn)確性和直觀性,且無機(jī)械裝置,具有更長的使用壽命,因此得到了廣泛的使用。本文介紹了一款基于at89c52單片機(jī)數(shù)字鐘的設(shè)計(jì),通過多功能數(shù)字鐘的設(shè)計(jì)思路,詳細(xì)敘述了系統(tǒng)硬件、軟件的具體實(shí)現(xiàn)過程。軟件采用簡單流通性強(qiáng)的c語言編寫實(shí)現(xiàn)。本設(shè)計(jì)實(shí)現(xiàn)了年、月、日和時(shí)、分、秒的顯示功能。并

3、且運(yùn)用proteus軟件進(jìn)行仿真,通過對(duì)比實(shí)際的時(shí)鐘,查找出了誤差的來源,確定了調(diào)整誤差的方法,盡可能的減少誤差,使得系統(tǒng)可以達(dá)到實(shí)際數(shù)字鐘的允許誤差范圍內(nèi)。關(guān)鍵詞:at89c52單片機(jī) 數(shù)字鐘 proteus. the design and implementation of lcd digital clockfang he(hunan institute of humanities science and technology,loudi,hunan)abstract: a digital clock is a kind of device which is used by digital

4、 circuit technology to achieve the timing . it is higher than the mechanical clock in accuracy and intuitive . and it has no machinery and has more longer service life, so it has been widely used . the design of lcd digital clock based on scm of at89c52 was introduced in the paper , the specific pro

5、cess of how the system hardware and software achieved were detailed description through the design of multifunction digital clock. software design used the c language to achieve because of its simple and strong negotiability. in this design, the functions of the year, month, day , hour, minute and s

6、econds display have been achieved.,andthe proteus software has been used to simulate. by comparing the actual clock, we can find out the source of the error and determine the method of adjusting error, reduce errors as much as possibly, so this system can achieve a practical digital clock with error

7、 within the permissible range. key words :at89c52 microcontroller; digital clock; proteus .1引言現(xiàn)今數(shù)字鐘已成為人們?nèi)粘I畋夭豢缮俚谋匦杵?,廣泛用于個(gè)人家庭以及辦公室等公共場所,給人們的生活、學(xué)習(xí)、工作、娛樂帶來了極大的方便,由于數(shù)字集成技術(shù)的發(fā)展和采用先進(jìn)的石英技術(shù),使數(shù)字鐘具有走時(shí)準(zhǔn)確、性能穩(wěn)定、攜帶方便等特點(diǎn),它還應(yīng)用于計(jì)時(shí)、自動(dòng)報(bào)時(shí)及自動(dòng)控制等各個(gè)領(lǐng)域,盡管目前的市場上已經(jīng)有現(xiàn)成的數(shù)字鐘集成電路芯片出售,價(jià)錢便宜、使用方便,但鑒于數(shù)字鐘集成電路的基本電路包含了數(shù)字電路的主要部分,因此進(jìn)行數(shù)字的

8、設(shè)計(jì)是必要的,研究數(shù)字鐘及擴(kuò)大其應(yīng)用有著非常現(xiàn)實(shí)的意義。目前,高精度、多功能、小體積、低功耗,成為了現(xiàn)代時(shí)鐘發(fā)展的趨勢。在這種趨勢下,時(shí)鐘的數(shù)字化、多功能化已經(jīng)成為現(xiàn)代時(shí)鐘生產(chǎn)研究的主導(dǎo)設(shè)計(jì)方向。而在單片機(jī)技術(shù)日趨成熟的今天,單片機(jī)技術(shù)已經(jīng)得到了廣泛的運(yùn)用。通過運(yùn)用單片機(jī)的可編程控制的功效特點(diǎn),在保障產(chǎn)品質(zhì)量的同時(shí),不斷增加產(chǎn)品的內(nèi)在功能,使硬件電路實(shí)現(xiàn)的功能逐漸被單片機(jī)內(nèi)部的程序取代,減少了因元器件的故障給產(chǎn)品帶來的困擾,同時(shí)使得產(chǎn)品的集成度也有新的提高。如今,單片機(jī)逐漸向智能化、微型化、低功耗化等有利方向轉(zhuǎn)型,其應(yīng)用幾乎涵蓋了各個(gè)領(lǐng)域,在一些高性能和要求體積小的環(huán)境控制中,它的作用是任何產(chǎn)

9、品無法取代的。基于現(xiàn)今數(shù)字鐘的主導(dǎo)設(shè)計(jì)方向和單片機(jī)技術(shù)優(yōu)越性,本課題將以單片機(jī)技術(shù)為控制核心,設(shè)計(jì)制作一個(gè)符合指標(biāo)要求的液晶顯示的數(shù)字鐘。在日常生活和工作中,我們常常用到定時(shí)控制,如擴(kuò)印過程中的曝光定時(shí)等。早期常用的一些時(shí)間控制單元都使用模擬電路設(shè)計(jì)制作的,其定時(shí)準(zhǔn)確性和重復(fù)精度都不是很理想,現(xiàn)在基本上都是基于數(shù)字技術(shù)的新一代產(chǎn)品,隨著單片機(jī)性能價(jià)格比的不斷提高,新一代產(chǎn)品的應(yīng)用也越來越廣泛,大可構(gòu)成復(fù)雜的工業(yè)過程控制系統(tǒng),完成復(fù)雜的控制功能。小則可以用于家電控制,甚至可以用于兒童電子玩具。它功能強(qiáng)大,體積小,質(zhì)量輕,靈活好用,配以適當(dāng)?shù)慕涌谛酒?,可以?gòu)造各種各樣、功能各異的微電子產(chǎn)品。數(shù)字電

10、子鐘具有走時(shí)準(zhǔn)確,一鐘多用等特點(diǎn),在生活中已經(jīng)得到廣泛的應(yīng)用。雖然現(xiàn)在市場上已有現(xiàn)成的電子鐘集成電路芯片,價(jià)格便宜、使用也方便,但是人們對(duì)電子產(chǎn)品的應(yīng)用要求越來越高,數(shù)字鐘不但可以顯示當(dāng)前的時(shí)間,而且可以顯示日期、農(nóng)歷 、以及星期等,給人們的生活帶來了方便。另外數(shù)字鐘還具備秒表和鬧鐘的功能,且鬧鐘鈴聲可自選,使一款電子鐘具備了多媒體的色彩。 數(shù)字電子時(shí)鐘實(shí)際上是一個(gè)對(duì)標(biāo)準(zhǔn)頻率(1hz)進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。由于計(jì)數(shù)的起始時(shí)間不可能與標(biāo)準(zhǔn)時(shí)間(如北京時(shí)間)一致,故需要在電路上加一個(gè)校時(shí)電路,同時(shí)標(biāo)準(zhǔn)的1hz時(shí)間信號(hào)必須做到準(zhǔn)確穩(wěn)定。通常使用石英晶體振蕩器電路構(gòu)成數(shù)字電子時(shí)鐘。數(shù)字電子時(shí)鐘的設(shè)計(jì)方法

11、有多種,例如,可用中小規(guī)模集成電路組成電子鐘;也可以利用專用的電子鐘芯片配以顯示電路及其所需要的外圍電路組成電子鐘;還可以利用單片機(jī)來實(shí)現(xiàn)電子鐘等等。這些方法都各有特點(diǎn),其中,利用單片機(jī)實(shí)現(xiàn)的電子鐘具有編程靈活,便于電子鐘功能的擴(kuò)充,即可用該電子鐘發(fā)出各種控制信號(hào),精確度高等特點(diǎn)。數(shù)字電子時(shí)鐘既可以通過純硬件實(shí)現(xiàn),也可以通過軟硬件結(jié)合實(shí)現(xiàn),根據(jù)電子時(shí)鐘中核心部件秒信號(hào)的產(chǎn)生原理,通常有以下三種形式:1.采用ne555時(shí)基電路或其他振蕩電路產(chǎn)生秒脈沖信號(hào),作為秒加法電路的時(shí)鐘信號(hào)或微處理器的外部中斷輸入信號(hào),可構(gòu)成數(shù)字電子時(shí)鐘。由555構(gòu)成的秒脈沖發(fā)生器電路見圖1-1。輸出的脈沖信號(hào)v的頻率f1

12、.443(ra2rb)c,可通過調(diào)節(jié)這3個(gè)參數(shù),使輸出v的頻率為精確的1z。圖1-1基于555的秒脈沖發(fā)生器2.采用石英鐘專用計(jì)時(shí)芯片實(shí)現(xiàn)的數(shù)字電子時(shí)鐘,具有實(shí)現(xiàn)簡單、計(jì)時(shí)精度高的特點(diǎn)。石英計(jì)時(shí)芯片(簡稱“機(jī)芯”)比較多,常見型號(hào)的有stp5512f、sm5546a和d60400等?,F(xiàn)結(jié)合康巴絲石英鐘常用的5512f型為例作一簡單介紹。利用5512f的2秒輸出信號(hào)作為秒加法電路的計(jì)數(shù)脈沖,可實(shí)現(xiàn)電子時(shí)鐘。5512f的引腳圖如圖1-2所示:圖1-25512f的引腳圖其中,引腳7、8為外接晶振及振蕩電路,引腳接電源正極,電源為1.5,引腳3、4原為指針用步進(jìn)電機(jī)線圈的輸出驅(qū)動(dòng),這里可用腳作為脈沖輸

13、出,頻率決定于外接晶振的頻率。3.利用微處理器的智能性,可方便實(shí)現(xiàn)具有智能的數(shù)字電子時(shí)鐘。由于微處理器均具有時(shí)鐘振蕩系統(tǒng),利用系統(tǒng)時(shí)鐘借助微處理器的定時(shí)計(jì)數(shù)器可實(shí)現(xiàn)數(shù)字電子時(shí)鐘功能。雖然,系統(tǒng)時(shí)鐘的誤差較大,數(shù)字電子時(shí)鐘的累積誤差也可能較大,但可以通過誤差修正軟件加以修正。本章討論的數(shù)字電子時(shí)鐘就是采用這種形式。本文主要介紹用單片機(jī)內(nèi)部的定時(shí)/計(jì)數(shù)器來實(shí)現(xiàn)電子時(shí)鐘的方法,本設(shè)計(jì)由單片機(jī)at89c52芯片和lcd顯示器為核心,輔以必要的電路,構(gòu)成了一個(gè)單片機(jī)電子時(shí)鐘。2 系統(tǒng)總體設(shè)計(jì)方案2.1系統(tǒng)可實(shí)現(xiàn)的功能本系統(tǒng)通過lcd顯示器,實(shí)現(xiàn)日期和時(shí)間的顯示,日期顯示為:年-月-日;時(shí)間顯示為:時(shí)-分

14、-秒。圖2-1系統(tǒng)硬件電路圖2.2 數(shù)字時(shí)鐘的硬件系統(tǒng)框圖時(shí)鐘電路復(fù)位電路單片機(jī)at89c52振蕩電路lcd顯示 圖2-2硬件系統(tǒng)框圖2.3各元器件的選用2.3.1 單片機(jī)at89c52at89c521為40 腳雙列直插封裝的8 位通用微處理器,采用工業(yè)標(biāo)準(zhǔn)的c51內(nèi)核,在內(nèi)部功能及管腳排布上與通用的8xc52 相同,其主要用于會(huì)聚調(diào)整時(shí)的功能控制。功能包括對(duì)會(huì)聚主ic 內(nèi)部寄存器、數(shù)據(jù)ram及外部接口等功能部件的初始化,會(huì)聚調(diào)整控制,會(huì)聚測試圖控制,紅外遙控信號(hào)ir的接收解碼及與主板cpu通信等。主要管腳有:xtal1(19 腳)和xtal2(18 腳)為振蕩器輸入輸出端口,外接12mhz

15、晶振。rst/vpd(9 腳)為復(fù)位輸入端口,外接電阻電容組成的復(fù)位電路。vcc(40 腳)和vss(20 腳)為供電端口,分別接+5v電源的正負(fù)端。p0p3 為可編程通用i/o 腳。(1) at89c52的引腳排列如圖2-3所示:圖2-3at89c52引腳圖vcc:電源 gnd:地p0 口:p0 口是一組8 位漏極開路型雙向i/o 口, 也即地址/數(shù)據(jù)總線復(fù)用口。作為輸出口用時(shí),每位能吸收電流的方式驅(qū)動(dòng)8 個(gè)ttl邏輯門電路,對(duì)端口p0 寫“1”時(shí),可作為高阻抗輸入端用。在訪問外部數(shù)據(jù)存儲(chǔ)器或程序存儲(chǔ)器時(shí),這組口線分時(shí)轉(zhuǎn)換地址(低8 位)和數(shù)據(jù)總線復(fù)用,在訪問期間激活內(nèi)部上拉電阻。在flas

16、h 編程時(shí),p0 口接收指令字節(jié),而在程序校驗(yàn)時(shí),輸出指令字節(jié),校驗(yàn)時(shí),要求外接上拉電阻。p1 口:p1 是一個(gè)帶內(nèi)部上拉電阻的8 位雙向i/o 口, p1 的輸出緩沖級(jí)可驅(qū)動(dòng)(吸收或輸出電流)4 個(gè)ttl 邏輯門電路。對(duì)端口寫“1”,通過內(nèi)部的上拉電阻把端口拉到高電平,此時(shí)可作輸入口。作輸入口使用時(shí),因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸出一個(gè)電流(iil)。與at89c51 不同之處是,p1.0 和p1.1 還可分別作為定時(shí)/計(jì)數(shù)器2 的外部計(jì)數(shù)輸入(p1.0/t2)和輸入(p1.1/t2ex),參見表1。flash 編程和程序校驗(yàn)期間,p1 接收低8 位地址。表1 p1.0和

17、p1.1的第二功能2引腳號(hào)功能特性p1.0t2(定時(shí)/計(jì)數(shù)器2外部計(jì)數(shù)脈沖輸入),時(shí)鐘輸出p1.1t2ex(定時(shí)/計(jì)數(shù)2捕獲/重裝載觸發(fā)和方向控制)p2 口:p2 是一個(gè)帶有內(nèi)部上拉電阻的8 位雙向i/o 口,p2 的輸出緩沖級(jí)可驅(qū)動(dòng)(吸收或輸出電流)4 個(gè)ttl 邏輯門電路。對(duì)端口p2 寫“1”,通過內(nèi)部的上拉電阻把端口拉到高電平,此時(shí)可作輸入口,作輸入口使用時(shí),因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸出一個(gè)電流(iil)。在訪問外部程序存儲(chǔ)器或16 位地址的外部數(shù)據(jù)存儲(chǔ)器(例如執(zhí)行movx dptr 指令)時(shí),p2 口送出高8 位地址數(shù)據(jù)。在訪問8 位地址的外部數(shù)據(jù)存儲(chǔ)器(如執(zhí)行

18、movx ri 指令)時(shí),p2 口輸出p2 鎖存器的內(nèi)容。flash 編程或校驗(yàn)時(shí),p2亦接收高位地址和一些控制信號(hào)。p3 口:p3 口是一組帶有內(nèi)部上拉電阻的8 位雙向i/o 口。p3 口輸出緩沖級(jí)可驅(qū)動(dòng)(吸收或輸出電流)4 個(gè)ttl 邏輯門電路。對(duì)p3 口寫入“1”時(shí),它們被內(nèi)部上拉電阻拉高并可作為輸入端口。此時(shí),被外部拉低的p3 口將用上拉電阻輸出電流(iil)。p3 口除了作為一般的i/o 口線外,更重要的用途是它的第二功能。p3 口還接收一些用于flash 閃速存儲(chǔ)器編程和程序校驗(yàn)的控制信號(hào)。表2 at89c52的p3口的特殊功能3端口引腳第二功能p3.0rxd(串行輸入口)p3.1

19、txd(串行輸出口)p3.2int0(外中斷0)p3.3int1(外中斷1)p3.4t0(定時(shí)/ 計(jì)數(shù)器0)p3.5t1(定時(shí)/ 計(jì)數(shù)器1)p3.6wr(外部數(shù)據(jù)存儲(chǔ)器寫選通)p3.7rd(外部數(shù)據(jù)存儲(chǔ)器讀選通)rst:復(fù)位輸入。rst引腳一旦保持兩個(gè)機(jī)器以上的高電平,所有的i/o口都將復(fù)位到“1”(高電平)狀態(tài),當(dāng)振蕩器正在工作時(shí),持續(xù)兩個(gè)機(jī)器周期以上的高電平便可完成復(fù)位,每個(gè)機(jī)器周期為12個(gè)時(shí)鐘振蕩周期。xtal1:振蕩器反相放大器的輸入端及內(nèi)部時(shí)鐘發(fā)生器的輸入端。xtal2:振蕩器反相放大器的輸出端。(2)主要性能參數(shù)4:與mcs51 產(chǎn)品指令和引腳完全兼容8k 字節(jié)可重擦寫flash

20、閃速存儲(chǔ)器1000 次擦寫周期全靜態(tài)操作:0hz24mhz三級(jí)加密程序存儲(chǔ)器2568 字節(jié)內(nèi)部ram32 個(gè)可編程i/o 口線3 個(gè)16 位定時(shí)/計(jì)數(shù)器8 個(gè)中斷源可編程串行uart 通道低功耗空閑和掉電模式(3)功能特性概述:at89c52 提供以下標(biāo)準(zhǔn)功能:8k字節(jié)flash 閃速存儲(chǔ)器,256字節(jié)內(nèi)部ram,32 個(gè)i/o 口線,3 個(gè)16 位定時(shí)/計(jì)數(shù)器,一個(gè)6向量兩級(jí)中斷結(jié)構(gòu),一個(gè)全雙工串行通信口,片內(nèi)振蕩器及時(shí)鐘電路。同時(shí),at89c52 可降至0hz的靜態(tài)邏輯操作,并支持兩種軟件可選的節(jié)電工作模式。空閑方式停止cpu 的工作,但允許ram,定時(shí)/計(jì)數(shù)器,串行通信口及中斷系統(tǒng)繼續(xù)工

21、作。掉電方式保存ram 中的內(nèi)容,但振蕩器停止工作并禁止其它所有部件工作直到下一個(gè)硬件復(fù)位。2.3.2 時(shí)鐘芯片ds1302ds1302 5是dallas 公司推出的涓流充電時(shí)鐘芯片。內(nèi)含有一個(gè)實(shí)時(shí)時(shí)鐘/日歷和31 字節(jié)靜態(tài)ram, 通過簡單的串行接口與單片機(jī)進(jìn)行通信,實(shí)時(shí)時(shí)鐘/日歷電路提供秒分時(shí)日期月年的信息,每月的天數(shù)和閏年的天數(shù)可自動(dòng)調(diào)整,時(shí)鐘操作可通過am/pm 指示決定采用24 或12 小時(shí)格式。ds1302 與單片機(jī)之間能簡單地采用同步串行的方式進(jìn)行通信,僅需用到三個(gè)口線: res 復(fù)位、 i/o 數(shù)據(jù)線、 sclk串行時(shí)鐘。時(shí)鐘/ram 的讀/寫數(shù)據(jù)以一個(gè)字節(jié)或多達(dá)31 個(gè)字節(jié)的

22、字符組方式通信,ds1302 工作時(shí)功耗很低保持?jǐn)?shù)據(jù)和時(shí)鐘信息時(shí)功率小于1mw。下面將主要的性能指標(biāo)6作以下綜合: 實(shí)時(shí)時(shí)鐘具有能計(jì)算2100 年之前的秒分時(shí)日日期星期月年和閏年調(diào)整的能力; 8 位暫存數(shù)據(jù)存儲(chǔ)ram; 串行 i/o 口方式使得管腳數(shù)量最少; 寬范圍工作電壓2.0 5.5v; 工作電流 2.0v 時(shí),小于300na; 讀/寫時(shí)鐘或ram 數(shù)據(jù)時(shí)有兩種傳送方式單字節(jié)傳送和多字節(jié)傳送字符組方式; 8 腳dip 封裝或可選的8 腳soic 封裝根據(jù)表面裝配; 簡單 3 線接口; 與 ttl 兼容vcc=5v; 可選工業(yè)級(jí)溫度范圍-40 +85; 與 ds1202 兼容; 在 ds12

23、02 基礎(chǔ)上增加的特性:對(duì)vcc1 有可選的涓流充電能力,雙電源管用于主電源和備份電源供應(yīng),備份電源管腳可由電池或大容量電容輸入附加的7 字節(jié)暫存存儲(chǔ)器。2.3.3 顯示器的結(jié)圖2-4顯示器的引腳圖顯示器是最常用的輸出設(shè)備,其種類繁多,但在單片機(jī)系統(tǒng)設(shè)計(jì)中常用的是發(fā)光二極管顯示器(led)和液晶顯示(lcd)兩種,由于這兩種顯示器結(jié)構(gòu)簡單,價(jià)格便宜,接口容易實(shí)現(xiàn),因而得到廣泛應(yīng)用。 lm016l7是雙行顯示的液晶顯示器。在時(shí)間顯示方面觀察較方便,相對(duì)于led數(shù)碼管的其連接電路簡單且觀察方便。3 系統(tǒng)硬件設(shè)計(jì)3.1單片機(jī)復(fù)位電路的設(shè)計(jì)3.1.1 設(shè)計(jì)原理單片機(jī)復(fù)位是使cpu和系統(tǒng)中的其他功能部件

24、都處立個(gè)確定的初始狀態(tài),并從這個(gè)狀態(tài)開始工作,例如復(fù)位后pc0000h,使單片機(jī)從第個(gè)單元取指令。無論是在單片機(jī)剛開的接廣電源時(shí),還是斷電后或者發(fā)生故障后都要復(fù)位,所以我們必須弄清楚mgs51型單片機(jī)復(fù)位的條件、復(fù)體電路和復(fù)位后狀態(tài)。單片機(jī)復(fù)位的條件8是:必須使rsw陽或rst引腳(9)加上持續(xù)兩個(gè)機(jī)器周期(即24個(gè)振蕩周期)的高電平。例如,若時(shí)鐘頻率為12mhz,每機(jī)器周期為1s,則只需2s以上時(shí)間的高電平,在rst引腳出現(xiàn)高電平后的第二個(gè)機(jī)器周期執(zhí)行復(fù)位。3.1.2 方案的比較與選擇考慮到系統(tǒng)調(diào)試時(shí)的方便,本系統(tǒng)采用了按鍵復(fù)位方式。當(dāng)調(diào)試不成功時(shí),經(jīng)過調(diào)整后,只需單片機(jī)復(fù)位即可進(jìn)行下一次的

25、調(diào)試。這也降低了其他硬件由于電源供電問題,而導(dǎo)致的調(diào)試不成功。所以,最終選定按鍵復(fù)位電路為單片機(jī)部分的復(fù)位電路。3.1.3 復(fù)位電路與單片機(jī)的連接圖3-1復(fù)位電路任何單片機(jī)在工作之前都要有個(gè)復(fù)位的過程。復(fù)位對(duì)單片機(jī)來說,是使程序還沒有開始執(zhí)行,讓其在做準(zhǔn)備工作。如圖3-2,復(fù)位電路由10k的電阻及1uf的電容組成。這種復(fù)位電路的工作原理是:通電時(shí)電容兩端相當(dāng)于是短路,于是rst引腳上為高電平,然后電源通過電阻對(duì)電容充電,rst端電壓慢慢下降,降到一定程序,即為低電平,單片機(jī)開始正常工作。3.2單片機(jī)時(shí)鐘電路的設(shè)計(jì)時(shí)鐘電路用于產(chǎn)生單片機(jī)工作所需要的時(shí)鐘信號(hào)。時(shí)序所研究的是指令執(zhí)行中各信號(hào)之間的相

26、互關(guān)系。單片機(jī)本身就如同一個(gè)復(fù)雜的同步時(shí)序電路,為了保證同步工作,電路應(yīng)在惟一指定的時(shí)鐘信號(hào)控制下,嚴(yán)格地按規(guī)定時(shí)序工作。3.2.1振蕩器電路原理當(dāng)使用晶體諧振器9時(shí),c1,c2=(3010)pf;當(dāng)使用陶瓷諧振器時(shí),c1,c2=(410)pf。c1和c2雖沒有嚴(yán)格的要求,但電容的大小影響振蕩器電路的穩(wěn)定性和快速性,通常選在2030pf。在設(shè)計(jì)電路板,晶振和電容等應(yīng)盡可能靠芯片,以減少分布電容,保證振蕩器震蕩的穩(wěn)定性。本次設(shè)計(jì)的時(shí)鐘電路,在xtal1和xtal2之間接兩個(gè)22pf的電容c1,c2和一個(gè)石英晶體x1。振蕩頻率主要由石英晶振的頻率確定。電路中的兩個(gè)電容c1、c2的作用有兩個(gè)11:幫

27、助振蕩器起振(c1、c2值大,起振速度慢;c1、c2值小,起振速度快);對(duì)振蕩器的頻率起微調(diào)作用(c1、c2值大,頻率有降低,c1、c2值小,頻率有有提高)。單片機(jī)內(nèi)含振蕩器電路,但晶體振蕩器和電容在片外,由引腳xtal1和xtal2接入片內(nèi)。xtal1為振蕩器反相放大器和時(shí)鐘發(fā)生電路的輸入,xtal2為反相放大器的輸出。振蕩器電路工作原理如圖3-3所示。圖3-2振蕩器電路片內(nèi)時(shí)鐘發(fā)生器實(shí)質(zhì)上是個(gè)2分頻的觸發(fā)器,其輸入來自振蕩器(fosc),輸出為2相時(shí)鐘信號(hào),既狀態(tài)時(shí)鐘信號(hào),其頻率為fosc/2;狀態(tài)時(shí)鐘3分頻后為ale信號(hào),其頻率為fosc/6;狀態(tài)時(shí)鐘6分頻后為機(jī)器周期信號(hào),其頻率為fo

28、sc/12。振蕩器的工作可以由特殊功能寄存器pcon中的pd位控制。當(dāng)pd=1時(shí),振蕩器停止工作,系統(tǒng)進(jìn)入低功耗工作狀態(tài)。3.2.2 ds1302時(shí)鐘電路圖3-3時(shí)鐘電路ds1302 時(shí)鐘芯片包含一個(gè)rtc/日歷和31 字節(jié)的靜態(tài)ram。它通過簡單的串行接口和微處理器進(jìn)行通訊。rtc/日歷提供秒,分,小時(shí),天,日期,月和年。如果當(dāng)月天數(shù)小于31 天將自動(dòng)進(jìn)行調(diào)整,包含閏年校正。時(shí)鐘可以工作在24 小時(shí)制和12 小時(shí)制,12 小時(shí)制下用am/pm 來指示。只需要三線就可以通訊,分別為:1)rst(reset),2)i/o(數(shù)據(jù)線),3)sclk(串行時(shí)鐘)。數(shù)據(jù)可以通過一次一字節(jié)或可達(dá)31 字節(jié)

29、的觸發(fā)模式下傳入或移出時(shí)鐘/ram。ds1302 設(shè)計(jì)成可以在很低電壓下工作,并可以在小于1 毫瓦的功耗下保持?jǐn)?shù)據(jù)和時(shí)鐘信息。vcc1vcc2 為雙電源供應(yīng)配置中的主電源供應(yīng)。在主電源供應(yīng)失效時(shí),vcc1 連接至備份電源以保持時(shí)間和數(shù)據(jù)。ds1302 會(huì)從vcc1 和vcc2 中的較大者中取電操作。當(dāng)vcc2 比vcc1 大0.2v 時(shí),vcc2 對(duì)ds1302 供電。當(dāng)vcc2 小于vcc1 時(shí),vcc1 對(duì)ds1302 供電。sclk(串行時(shí)鐘輸入)sclk 用于在串行接口上同步傳送數(shù)據(jù)。該腳包含一個(gè)40k 歐的內(nèi)部下拉電阻。i/o(數(shù)據(jù)輸入/輸出)i/o 腳為雙向數(shù)據(jù)腳。該腳內(nèi)部有40k

30、 歐下拉電阻。rst(reset)復(fù)位信號(hào)在讀寫期間應(yīng)拉高。該腳內(nèi)部有40k 歐下拉電阻。x1,x2用于連接一個(gè)32.768khz的晶振。內(nèi)部的振蕩器設(shè)計(jì)成在指定負(fù)載能力為6pf的晶振下工作。3.3 顯示器和單片機(jī)的接口電路3.3.1 顯示電路89系列單片機(jī)的應(yīng)用中,通常要進(jìn)行信息顯示,而顯示器件大多是采用led或lcd。對(duì)led和lcd器件來說。它們的接口方法是不同的。因?yàn)閘ed一般所需的電流較大,而顯示器件很多是7段數(shù)字顯示器,所以,在顯示時(shí)要求給出和顯示數(shù)字對(duì)應(yīng)的顯示碼。對(duì)于lcd器件來說,現(xiàn)在已經(jīng)配置了專門的驅(qū)動(dòng)電器,在進(jìn)行信息顯示時(shí),往往把信息寫入驅(qū)動(dòng)電路的存儲(chǔ)器中。由于存儲(chǔ)器和lc

31、d顯示屏是一種映射關(guān)系,故只要寫入其存儲(chǔ)器的信息適當(dāng),則可以在lcd中顯示出對(duì)應(yīng)的正確信息。3.3.2 顯示器的接入圖3-4顯示電路設(shè)計(jì)中at89c52單片機(jī)引腳p0.0p0.7引腳接上上拉電阻串接到lcd上d0d7,然后at89c52單片機(jī)引腳p2.0、p2.1、p2.2分別接上lcd中的rs、rw、e三個(gè)接口。上拉電阻的作用10:1、當(dāng)ttl電路驅(qū)動(dòng)coms電路時(shí),如果ttl電路輸出的高電平低于coms電路的最低高電平(一般為3.5v),這時(shí)就需要在ttl的輸出端接上拉電阻,以提高輸出高電平的值。2、oc門電路必須加上拉電阻,以提高輸出的高電平值。3、為加大輸出引腳的驅(qū)動(dòng)能力,有的單片機(jī)管

32、腳上也常使用上拉電阻。4、在cmos芯片上,為了防止靜電造成損壞,不用的管腳不能懸空,一般接上拉電阻產(chǎn)生降低輸入阻抗,提供泄荷通路。5、芯片的管腳加上拉電阻來提高輸出電平,從而提高芯片輸入信號(hào)的噪聲容限增強(qiáng)抗干擾能力。6、提高總線的抗電磁干擾能力。管腳懸空就比較容易接受外界的電磁干擾。7、長線傳輸中電阻不匹配容易引起反射波干擾,加上下拉電阻是電阻匹配,有效的抑制反射波干擾。4 系統(tǒng)軟件設(shè)計(jì)軟件設(shè)計(jì)的重點(diǎn)在于秒脈沖信號(hào)的產(chǎn)生、顯示的實(shí)現(xiàn)等。這里主要討論秒脈沖的產(chǎn)生原理?;谲浖拿朊}沖信號(hào)通常有延時(shí)法和定時(shí)中斷法。延時(shí)法11一般采用查詢方式,在延時(shí)子程序前后必然需要查詢和處理的程序,導(dǎo)致誤差的產(chǎn)

33、生,因此其秒脈沖的精度不高;中斷法的原理是,利用單片機(jī)內(nèi)部的定時(shí)器溢出中斷來實(shí)現(xiàn)。例如,設(shè)定某定時(shí)器每100ms中斷1次,則10次的周期為1s。這種實(shí)現(xiàn)法的特點(diǎn)是精度高,秒脈沖的發(fā)生和其他處理可以并行進(jìn)行。本系統(tǒng)采用這種方式,實(shí)現(xiàn)的關(guān)鍵是定時(shí)器工作方式的選擇和定時(shí)參數(shù)的計(jì)算設(shè)定。具體內(nèi)容參見源程序中的相關(guān)說明。4.1軟件系統(tǒng)中的主模塊設(shè)計(jì)c語言是一種編譯型程序設(shè)計(jì)語言,它兼顧了多種高級(jí)語言的特點(diǎn),并具備匯編語言的功能。此外,c語言程序具有完善的模塊程序結(jié)構(gòu),從而為軟件開發(fā)中采用模塊化程序設(shè)計(jì)方法提供了有力的保障。因此,使用c語言進(jìn)行程序設(shè)計(jì)已成為軟件開發(fā)的一個(gè)主流。c語言來編寫目標(biāo)系統(tǒng)軟件,會(huì)

34、大大縮短開發(fā)周期,且明顯地增加軟件的可靠性,便于改進(jìn)和擴(kuò)展,從而研制出規(guī)模更大、性能更完備的系統(tǒng)。因此,用c語言進(jìn)行單片機(jī)程序設(shè)計(jì)是單片機(jī)開發(fā)與應(yīng)用的必然趨勢,所以本程序采用c語言編寫。4.1.1 主模塊的c語言實(shí)現(xiàn)以下代碼為上述主模塊的c語言12實(shí)現(xiàn),實(shí)現(xiàn)time 和date的顯示功能。#include #include lcd1602.h#include ds1302.hvoid delay1ms(unsigned int count)unsigned int i,j;for(i=0;icount;i+)for(j=0;j120;j+);main()systemtime currentti

35、me;lcd_initial();initial_ds1302();gotoxy(0,0);print(date: );gotoxy(0,1);print(time: );while(1)ds1302_gettime(¤ttime);datetostr(¤ttime);timetostr(¤ttime);gotoxy(6,0);print(currenttime.datestring);gotoxy(6,1);print(currenttime.timestring);delay1ms(300);相關(guān)的程序?qū)⒃诟戒浿兄该鳌?.系統(tǒng)的實(shí)現(xiàn)5.1 電路的仿真圖5-1系統(tǒng)硬件仿真圖仿真的步驟:1 在proteus13中按設(shè)計(jì)原理及設(shè)計(jì)框架搭圖;2 利用keil軟件進(jìn)行程序調(diào)試,生成.hex文件;3 在proteus圖中加載.hex文件;4 觀察現(xiàn)象。從圖5-1可以看出,系統(tǒng)實(shí)現(xiàn)了年-月-日及時(shí)-分-秒的顯示,與設(shè)計(jì)方案基本相符合。6 小結(jié)與討論

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論