單片機(jī)課程設(shè)計(jì)步進(jìn)電機(jī)驅(qū)動(dòng)器_第1頁
單片機(jī)課程設(shè)計(jì)步進(jìn)電機(jī)驅(qū)動(dòng)器_第2頁
單片機(jī)課程設(shè)計(jì)步進(jìn)電機(jī)驅(qū)動(dòng)器_第3頁
單片機(jī)課程設(shè)計(jì)步進(jìn)電機(jī)驅(qū)動(dòng)器_第4頁
單片機(jī)課程設(shè)計(jì)步進(jìn)電機(jī)驅(qū)動(dòng)器_第5頁
已閱讀5頁,還剩25頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、單片機(jī)原理實(shí)訓(xùn)說明書題 目: 步進(jìn)電機(jī)控制器 學(xué) 院: 專 業(yè): 學(xué)生姓名: 學(xué) 號: 指導(dǎo)教師: 2011 年 12 月 29 日29桂林電子科技大學(xué)實(shí)訓(xùn)說明書用紙摘 要步進(jìn)電動(dòng)機(jī)是一種將電脈沖信號轉(zhuǎn)換成角位移或線位移的精密執(zhí)行元件,具有快速起動(dòng)和停止的特點(diǎn)。其驅(qū)動(dòng)速度和指令脈沖能嚴(yán)格同步,具有較高的重復(fù)定位精度, 并能實(shí)現(xiàn)正反轉(zhuǎn)和平滑速度調(diào)節(jié)。它的運(yùn)行速度和步距不受電源電壓波動(dòng)及負(fù)載的影響, 因而被廣泛應(yīng)用于數(shù)模轉(zhuǎn)換、速度控制和位置控制系統(tǒng)。本文在分析了步進(jìn)電機(jī)的驅(qū)動(dòng)特性、斬波恒流細(xì)分驅(qū)動(dòng)原理和混合式步進(jìn)電機(jī)驅(qū)動(dòng)芯片uln2003an的性能、結(jié)構(gòu)的基礎(chǔ)上,結(jié)合at89c52單片機(jī),設(shè)計(jì)出了

2、混合式步進(jìn)電機(jī)驅(qū)動(dòng)電路。關(guān)鍵詞:步進(jìn)電機(jī);at89c52單片機(jī);uln2003an驅(qū)動(dòng)。 abstractstepping motors is a kind of will convert angular displacement or electrical impulses signal line displacement of precision actuator, have fast start and stop characteristics. the driving speed and instructions pulse can strictly synchronization,

3、which has high repositioning precision, and can realize the positive &negative and smooth adjustable speed. its operation speed and step distance from supply voltage fluctuation and load effect, which have been widely applied in analog-to-digital conversion, speed control and the position control sy

4、stem. based on the analysis of the stepper motor driving characteristics, a chopper constant-current subdivided driving principle and hybrid stepping motor drive chip uln2003an the performance, structure in the foundation, the union at89c52 single chip computer, designed a hybrid stepping motor driv

5、er circuit. key words: stepping motor; at89c52 single chip computer; uln2003an driver.目 錄第1章 步進(jìn)電機(jī)的原理介紹2 2.1 步進(jìn)電機(jī)的工作原理3 2.2 步進(jìn)電機(jī)24byj48的相關(guān)電氣參數(shù)3 2.3 步進(jìn)電機(jī)的基本術(shù)語4 2.3.1 相數(shù)4 2.3.2 拍數(shù)4 2.3.3 步距角4 2.3.4 信號分配4第2章 總體設(shè)計(jì)思路5 3.1 方案與設(shè)計(jì)思路5 3.2 總框圖5第3章 程序設(shè)計(jì)5 4.1 主程序5 4.2 lcd及檔位顯示程序6 4.3正反轉(zhuǎn)流程圖7 4.4啟動(dòng)與停止流程圖8第4章 硬件設(shè)計(jì)9

6、 5.1 硬件的設(shè)計(jì)與選取9 5.1.1 步進(jìn)電機(jī)的驅(qū)動(dòng)9 5.1.2 單片機(jī)的選取10 5.1.3 液晶lcd1602顯示模塊10 5.1.4 按鍵電路設(shè)計(jì)11 5.1.5 時(shí)針產(chǎn)生電路11 5.2 硬件的總體電路連接12第5章 調(diào)試與故障分析13 6.1 軟件編寫及調(diào)試13 6.2 調(diào)試過程及相關(guān)顯示結(jié)果14 6.3 故障分析及解決方法15第6章 心得體會15第7章 參考文獻(xiàn)17 附件18 系統(tǒng)原理圖19 開發(fā)板實(shí)物圖:19 元器件清單19 源程序清單28第1章 步進(jìn)電機(jī)的原理介紹2.1 步進(jìn)電機(jī)的工作原理步進(jìn)電機(jī)是一種將電脈沖轉(zhuǎn)化為角位移的執(zhí)行機(jī)構(gòu)。通俗一點(diǎn)講:當(dāng)步進(jìn)驅(qū)動(dòng)器接收到一個(gè)脈沖

7、信號,它就驅(qū)動(dòng)步進(jìn)電機(jī)按設(shè)定的方向轉(zhuǎn)動(dòng)一個(gè)固定的角度(及步進(jìn)角)??梢酝ㄟ^控制脈沖個(gè)來控制角位移量,從而達(dá)到準(zhǔn)確定位的目的;同時(shí)可以通過控制脈沖頻率來控制電機(jī)轉(zhuǎn)動(dòng)的速度和加速度,從而達(dá)到調(diào)速的目的。本次設(shè)計(jì)是采用步進(jìn)電機(jī)28byj48型四相八拍電機(jī),電壓為dc5vdc12v。當(dāng)對步進(jìn)電機(jī)施加一系列連續(xù)不斷的控制脈沖時(shí),它可以連續(xù)不斷地轉(zhuǎn)動(dòng)。每一個(gè)脈沖信號對應(yīng)步進(jìn)電機(jī)的某一相或兩相繞組的通電狀態(tài)改變一次,也就對應(yīng)轉(zhuǎn)子轉(zhuǎn)過一定的角度(一個(gè)步距角)。當(dāng)通電狀態(tài)的改變完成一個(gè)循環(huán)時(shí),轉(zhuǎn)子轉(zhuǎn)過一個(gè)齒距。四相步進(jìn)電機(jī)可以在不同的通電方式下運(yùn)行,常見的通電方式有單(單相繞組通電)四拍(a-b-c-d-a。)

8、,雙(雙相繞組通電)四拍(ab-bc-cd-da-ab-。),八拍(a-ab-b-bc-c-cd-d-da-a。)其相序分配表如下:2.2 步進(jìn)電機(jī)24byj48的相關(guān)電氣參數(shù)1.額定電壓:12vdc(另有電壓:5v、6v、24v)2.相數(shù):43.減速比:1/64(另有減速比:1/16、1/32)4.步距角:5.625/645.驅(qū)動(dòng)方式:4相8拍6.直流電阻:2007%(25)(按客戶要求而定:80、130歐姆)7.空載牽入頻率:600hz8.空載牽出頻率:1000hz9.牽入轉(zhuǎn)矩:34.3mn.m(120hz)10.自定位轉(zhuǎn)矩:34.3mn.m11.絕緣電阻:10m(500v)12.絕緣介電

9、強(qiáng)度:600vac/1ma/1s13.絕緣等級:a14.溫升:50k(120hz)15.噪音:40db(120hz)16.重量:大約40g17.未注公差按:gb1804-m18.轉(zhuǎn)向:ccw2.3 步進(jìn)電機(jī)的基本術(shù)語2.3.1 相數(shù)產(chǎn)生不同對極n、s磁場的激磁線圈對數(shù),常用m表示。2.3.2 拍數(shù)完成一個(gè)磁場周期性變化所需脈沖數(shù)或?qū)щ姞顟B(tài)用n表示,或指電機(jī)轉(zhuǎn)過一個(gè)齒距角所需脈沖數(shù),比如說本次設(shè)計(jì)中使用的24byj48有單(單相繞組通電)四拍(a-b-c-d-a。),雙(雙相繞組通電)四拍(ab-bc-cd-da-ab-。),八拍(a-ab-b-bc-c-cd-d-da-a。)2.3.3 步距角

10、對應(yīng)一個(gè)脈沖信號,電機(jī)轉(zhuǎn)子轉(zhuǎn)過的角位移用表示。=360度(轉(zhuǎn)子齒數(shù)j*運(yùn)行拍數(shù)),以常規(guī)二相,轉(zhuǎn)子齒為50齒電機(jī)為例。四拍運(yùn)行時(shí)步距角為=360度/(50*4)=1.8度(俗稱整步),八拍運(yùn)行時(shí)步距角為=360度/(50*8)=0.9度(俗稱半步)。2.3.4 信號分配四相步進(jìn)電機(jī)按照其通電方式的不同,可以分為單四拍,雙四拍和雙八拍三種工作方式。單四拍與雙四拍的步距角相等,均為11.25度,而八拍的步距角則是單四拍與雙四拍的一半,5.625度。單(單相繞組通電)四拍(a-b-c-d-a。),雙(雙相繞組通電)四拍(ab-bc-cd-da-ab-。),八拍 (a-ab-b-bc-c-cd-d-d

11、a-a。)。這里選取的是雙相八拍的工作方式。第3章 總體設(shè)計(jì)思路3.1 方案與設(shè)計(jì)思路因?yàn)椴竭M(jìn)電機(jī)的控制是通過脈沖信號來控制的,將電脈沖信號轉(zhuǎn)變?yōu)榻俏灰苹蚓€位移的開環(huán)控制元件。所以怎樣產(chǎn)生這個(gè)脈沖信號和產(chǎn)生怎樣的信號是電機(jī)控制的關(guān)鍵。用單片機(jī)來產(chǎn)生這個(gè)脈沖信號,通過單片機(jī)的p1口輸出脈沖信號,因?yàn)樗x電機(jī)是四相的,所以只需要p1口的低四位p1.0p1.3分別接到電機(jī)的四根電線上。定時(shí)器定時(shí)來調(diào)整電機(jī)的轉(zhuǎn)速,通過鍵盤的按鈕,就可以改變定時(shí)初值從而改變了電機(jī)的轉(zhuǎn)速,單片機(jī)上p3.2p3.5連的是按鍵,這里鍵盤上的k1鍵為啟動(dòng)與停止鍵,k2鍵為減速鍵,k3鍵為加速鍵,k4鍵為方向轉(zhuǎn)換鍵。p0以及p2

12、.0p2.2口接lcd1602,可以顯示當(dāng)前的電機(jī)轉(zhuǎn)速、運(yùn)行狀態(tài)、運(yùn)行方向和檔位。具體結(jié)構(gòu)見3-1框圖。3.2 總框圖圖3-1 總體設(shè)計(jì)方框圖第4章 程序設(shè)計(jì)4.1 主程序進(jìn)入主程序,首先是對lcd進(jìn)行初始化,然后依次進(jìn)行鍵盤掃描,調(diào)用步進(jìn)電機(jī)相關(guān)數(shù)據(jù)顯示子函數(shù),若檢測到有鍵按下,則進(jìn)去相應(yīng)的處理。流程圖如下圖4-1:4-1圖 主程序流程圖4.2 lcd及檔位顯示程序此次設(shè)計(jì)使用1602液晶顯示步進(jìn)電機(jī)運(yùn)行狀態(tài),其效果比用數(shù)碼管顯示要更加明了,也更容易讀取相關(guān)狀態(tài)和數(shù)據(jù)。液晶上顯示有電機(jī)運(yùn)行的狀態(tài)(running或stop)、當(dāng)前檔位(dw)、運(yùn)行方向()以及其運(yùn)轉(zhuǎn)速度(r/min)。其顯示原

13、理如下圖4-2:圖4-2 液晶顯示流程圖4.3正反轉(zhuǎn)流程圖步進(jìn)電機(jī)的正反轉(zhuǎn)用k4控制,初始化電機(jī)為順時(shí)針轉(zhuǎn),即“”,每當(dāng)按下k4鍵,電機(jī)轉(zhuǎn)向改變,具體流程圖如下圖4-3:4.4啟動(dòng)與停止流程圖啟動(dòng)與停止是用k1鍵來控制的,初始化標(biāo)志位on_off=0,檔按下k1,即將on_off置1,每當(dāng)按下k1,都將在啟動(dòng)與停止間切換,具體流程圖如下圖4-4:圖4-4 啟動(dòng)與停止流程圖第5章 硬件設(shè)計(jì)5.1 硬件的設(shè)計(jì)與選取5.1.1 步進(jìn)電機(jī)的驅(qū)動(dòng)步進(jìn)電機(jī)的驅(qū)動(dòng)采用uln2003,接到單片機(jī)的p1.0p1.3,具體如圖5-1:圖5-1 步進(jìn)電機(jī)驅(qū)動(dòng)及其接口圖5.1.2 單片機(jī)的選取目前市面上的通用型單片機(jī)

14、種類很多,且適合不同應(yīng)用場合的新產(chǎn)品不斷出現(xiàn),但目前的應(yīng)用狀況,以8位中檔mcs-51系列單片機(jī)的應(yīng)用最為普遍?;谶@次課程設(shè)計(jì)的要求不高,我們可以選用51系列或52系列單片機(jī),其有可靠性高,易于擴(kuò)展以及實(shí)用性好等特點(diǎn),完全可以滿足我們的控制要求。其圖如下5-2:圖5-2 at89c52單片機(jī)5.1.3 液晶lcd1602顯示模塊p0接液晶的數(shù)據(jù)傳送口,p2.0p2.2接液晶的控制端口。其接線圖如下圖5-3:圖5-3 液晶與單片機(jī)接口原理圖5.1.4 按鍵電路設(shè)計(jì)鍵盤接口按不同標(biāo)準(zhǔn)有不同分類方法,按鍵盤排布,可以分為獨(dú)立方式(一組相互獨(dú)立的鍵盤)和矩陣(一行列組成矩陣)方式。此次設(shè)計(jì)只用到了四

15、個(gè)按鍵,故可采用獨(dú)立按鍵,p3.2p3.5分別接到k1k4。其原理圖如下圖5-4:圖5-4 按鍵與單片機(jī)接口原理圖5.1.5 時(shí)針產(chǎn)生電路時(shí)針電路部分晶振為11.0592mhz,其原理圖如下:圖5-4 時(shí)針電路5.2 硬件的總體電路連接圖 5-5 總體原理圖第6章 調(diào)試與故障分析6.1 軟件編寫及調(diào)試此次編程及調(diào)試是用keil軟件進(jìn)行的,程序的編寫及調(diào)試步驟如下:1. 根據(jù)步進(jìn)電機(jī)24byj48的相序,編好正反轉(zhuǎn)相序表,這個(gè)是關(guān)鍵,不能編錯(cuò)。2.定義各個(gè)變量及相關(guān)液晶顯示符:uchar code cdis0 = welcome to ;uchar code cdis1 = stepping m

16、otor ;uchar code cdis2 = control system ;uchar code cdis3 = stop ;uchar code cdis4 = dw:;uchar code cdis5 = running;uchar code cdis6 = r/min;3. 定義各個(gè)按鍵:sbit k1 = p32; /運(yùn)行與停止sbit k2 = p33; /加速sbit k3 = p34; /減速sbit k4 = p35; /方向轉(zhuǎn)換sbit beep = p36; /蜂鳴器4. 編寫各個(gè)子程序。5. 編寫主程序,進(jìn)入主程序即開始進(jìn)行鍵盤掃描及調(diào)用液晶顯示。6. 定時(shí)器t0的

17、中斷服務(wù)程序,進(jìn)入中斷,若滿足條件,即向步進(jìn)電機(jī)送8個(gè)脈沖。6.2 調(diào)試過程及相關(guān)顯示結(jié)果 將程序下載到單片機(jī)中,lcd初始化顯示如下:之后進(jìn)入步進(jìn)電機(jī)初始化的畫面顯示,電機(jī)運(yùn)行狀態(tài)顯示為stop,檔位為5檔,開機(jī)轉(zhuǎn)速為2.66r/min,如下: 實(shí)物圖如下:按下啟動(dòng)鍵k1后,轉(zhuǎn)向顯示出顯示,即電機(jī)順時(shí)針轉(zhuǎn),顯示如下:按k2鍵一次,檔位加一,高位檔為10檔,此時(shí)對應(yīng)電機(jī)轉(zhuǎn)速為最慢,為1.33r/min,如下圖:實(shí)物圖顯示如下:按下k3鍵一次,檔位減一,低位檔為1檔,也是電機(jī)轉(zhuǎn)速最快的檔,為13.32r/min,顯示效果如下圖:實(shí)物圖顯示如下:6.3 故障分析及解決方法故障一:首先在設(shè)計(jì)總體方案

18、時(shí),思路上出現(xiàn)了一些問題,我首先是想在中斷里完成許多應(yīng)在中斷之外完成的事,經(jīng)過老師的指點(diǎn),后來想清楚了。只在中斷中給電機(jī)送相序碼,并設(shè)定了一個(gè)參數(shù)對電機(jī)的轉(zhuǎn)速進(jìn)行調(diào)控。故障二:由于編寫程序的經(jīng)驗(yàn)不多,在計(jì)算電機(jī)轉(zhuǎn)速,并將其顯示在液晶時(shí),遇到了一些問題,速度轉(zhuǎn)換公式是找出來了,但怎樣將其小數(shù)點(diǎn)表示出來,困惑了好久,最后還是請教了同學(xué),才搞定。故障三:在仿真時(shí)首先液晶沒有接上拉電阻,液晶上顯示不出來,我以為是程序方面出了問題,后來嘗試接了上拉電阻,就能夠正常顯示了。第7章 心得體會為期兩周的單片機(jī)課程設(shè)計(jì)在忙碌中已接近尾聲,經(jīng)過這么多天的努力,終于完成了這次課程設(shè)計(jì)基本設(shè)計(jì)要求,在充實(shí)中也學(xué)到了很

19、多知識,是真正將所學(xué)知識運(yùn)用于實(shí)踐中的一次很好的體驗(yàn),使人受益匪淺。由于此次控制要求不是很高,選用51系列或52系列完全能夠滿足設(shè)計(jì)需求,最后選用的stc89c52。接下來就是硬件接口分配問題和如何去驅(qū)動(dòng)電機(jī)。對于步進(jìn)電機(jī)之前沒有什么接觸,所以我上網(wǎng)查了許多關(guān)于步進(jìn)電機(jī)的資料,知道了其基本工作原理以及怎么去實(shí)現(xiàn)其控制運(yùn)轉(zhuǎn)和驅(qū)動(dòng)。接下來的主要問題就是如何編程去實(shí)現(xiàn)控制它了,這次程序的編寫和調(diào)試對我來說是個(gè)不小的挑戰(zhàn),因?yàn)橹爸痪帉戇^一些簡短的小程序,對較大的程序總體性方面還不能很好的把握。經(jīng)過反復(fù)的嘗試和調(diào)試,用發(fā)現(xiàn)一個(gè)問題,解決一個(gè)問題的方法,還參考了一些別人的經(jīng)驗(yàn)方法,完成了程序的編寫。這對

20、我以后編寫程序是一次很好的經(jīng)驗(yàn)積累。仿真時(shí)也出了一些小問題,首先lcd完全沒有顯示,我就嘗試接上拉電阻,結(jié)果就能正常工作了。然后是電機(jī)也不轉(zhuǎn),最后我把送進(jìn)去的脈沖頻率減小,才使得電機(jī)運(yùn)轉(zhuǎn)起來,這個(gè)仿真過程又使我更加熟練了proteus軟件的用法。這次課程設(shè)計(jì)使我認(rèn)識到,剛學(xué)好書上的知識還是不夠的,更重要的是我們要能將所學(xué)的知識運(yùn)用到實(shí)踐中來,這才是我們學(xué)習(xí)知識的最基本的要求,所以在以后的學(xué)習(xí)生活中,我們應(yīng)該更加注意將學(xué)習(xí)與實(shí)踐相結(jié)合,在實(shí)踐中去運(yùn)用好所學(xué)知識,更進(jìn)一步深化和理解所學(xué)知識,達(dá)到學(xué)以致用。通過這次課程設(shè)計(jì),我也了解到了自己的不足,以前自己學(xué)習(xí)單片機(jī)的時(shí)候,往往只去看懂別人寫的程序,

21、以為看懂了自己就知道寫了,但事實(shí)并不是這樣,雖然這次課程設(shè)計(jì)程序是完成了,但棘手的地方很多,編程并不像以前認(rèn)為的簡單。所以在以后的學(xué)習(xí)中,不能手高眼低,一定要腳踏實(shí)地,一步步地走,自己動(dòng)手去做,這樣才能將知識真正學(xué)到手。這次課程設(shè)計(jì)能得以順利完成,還要感謝符強(qiáng)老師的指導(dǎo)和同學(xué)們的幫助,在老師指導(dǎo)和同學(xué)的幫助下,很多問題問題都很好地解決了??傊?,雖然只是短短兩周的時(shí)間,但也學(xué)到了很多,感受很深,是一次很好的經(jīng)驗(yàn)積累和設(shè)計(jì)經(jīng)歷。第8章 參考文獻(xiàn)1王迎旭.單片機(jī)原理及及應(yīng)用.北京:機(jī)械工業(yè)出版社2張迎新.單片微型計(jì)算機(jī)原理、應(yīng)用及接口技術(shù).北京:國防工業(yè)出版社3郭天祥.新概念51單片機(jī)c語言教程.北

22、京:電子工業(yè)出版社4蔡明文 馮先成編著.單片機(jī)課程設(shè)計(jì).北京:華中科技大學(xué)出版社5王曉明.電動(dòng)機(jī)的單片機(jī)控制.北京航空航天大學(xué)出版社 附件系統(tǒng)原理圖元器件清單單片機(jī)開發(fā)板 1塊stc89c52 1片lcd1602 1塊uln2003 1個(gè)步進(jìn)電機(jī) 1個(gè)源程序清單#include /51芯片管腳定義頭文件#include /內(nèi)部包含延時(shí)函數(shù) _nop_();#define uchar unsigned char#define uint unsigned int#define delaynop(); _nop_();_nop_();_nop_();_nop_();uchar code ffw8=0

23、xf1,0xf3,0xf2,0xf6,0xf4,0xfc,0xf8,0xf9; /正轉(zhuǎn)相序編碼表uchar code rev8=0xf9,0xf8,0xfc,0xf4,0xf6,0xf2,0xf3,0xf1;/反轉(zhuǎn)相序編碼表sbit k1 = p32; /運(yùn)行與停止sbit k2 = p33; /加速sbit k3 = p34; /減速sbit k4 = p35; /方向轉(zhuǎn)換sbit beep = p36; /蜂鳴器sbit lcd_rs = p20; sbit lcd_rw = p21;sbit lcd_en = p22;bit on_off=0; /運(yùn)行與停止標(biāo)志bit direction

24、=1; /方向標(biāo)志uchar code cdis0 = welcome to ;uchar code cdis1 = stepping motor ;uchar code cdis2 = control system ;uchar code cdis3 = stop ;uchar code cdis4 = dw:;uchar code cdis5 = running;uchar code cdis6 = r/min;uchar code cdis7 = rate:;uchar m,v=0,q=0,j;uchar rate=5; /預(yù)設(shè)定速度檔uchar data_temp1,data_temp

25、2,data_temp3,data_temp4; uint zs,data_temp;/*/void delay(uint t) / 延時(shí)t毫秒函數(shù) uchar k; while(t-) for(k=0; k125; k+) /*/void delayb(uchar x) /x*0.14ms uchar i; while(x-) for (i=0; i13; i+) /*/void beep() /蜂鳴器 uchar j; for (j=0;j100;j+) delayb(4); beep=!beep; /beep取反 beep=1; /關(guān)閉蜂鳴器 delay(170); /*/ bit lc

26、d_busy() /檢查lcd是否為忙狀態(tài),lcd_busy為1時(shí),忙,等待。為0時(shí),閑,可寫指令與數(shù)據(jù)。 bit result; lcd_rs = 0; lcd_rw = 1; lcd_en = 1; delaynop(); result = (bit)(p0&0x80); lcd_en = 0; return(result); /*/void lcd_wcmd(uchar cmd)/寫指令數(shù)據(jù)到lcd ,*rs=l,rw=l,e=高脈沖,d0-d7=指令碼。 while(lcd_busy(); lcd_rs = 0; lcd_rw = 0; lcd_en = 0; _nop_(); _no

27、p_(); p0 = cmd; delaynop(); lcd_en = 1; delaynop(); lcd_en = 0; /*/void lcd_wdat(uchar dat)/寫顯示數(shù)據(jù)到lcd,rs=h,rw=l,e=高脈沖,d0-d7=數(shù)據(jù)。 while(lcd_busy(); lcd_rs = 1; lcd_rw = 0; lcd_en = 0; p0 = dat; delaynop(); lcd_en = 1; delaynop(); lcd_en = 0; /*/void lcd_init()/lcd初始化設(shè)定 delay(30); lcd_wcmd(0x38); /16*2

28、顯示,5*7點(diǎn)陣,8位數(shù)據(jù) delay(5); lcd_wcmd(0x38); delay(5); lcd_wcmd(0x38); delay(5); lcd_wcmd(0x0c); /顯示開,關(guān)光標(biāo) delay(5); lcd_wcmd(0x06); /移動(dòng)光標(biāo) delay(5); lcd_wcmd(0x01); /清除lcd的顯示內(nèi)容 delay(5); /*/void lcd_pos(uchar pos)/設(shè)定顯示位置 lcd_wcmd(pos | 0x80); /數(shù)據(jù)指針=80+地址變量 /*/void lcd_init_dis()/ lcd1602初始顯示子程序 delay(10);

29、 /延時(shí) lcd_init(); /初始化lcd lcd_pos(0); /設(shè)置顯示位置為第一行的第1個(gè)字符 m = 0; while(cdis0m != 0) /顯示字符welcome lcd_wdat(cdis0m); m+; delay(2000); lcd_wcmd(0x01); /清屏 delay(5); /清屏以后的這個(gè)延時(shí)不能少,給lcd一定的緩沖,否則下一條指令不一定被執(zhí)行 lcd_pos(0x10); /設(shè)置顯示位置為第一行的第17個(gè)地址 m = 0; while(cdis1m != 0) /顯示字符 lcd_wdat(cdis1m); m+; lcd_pos(0x50);

30、/設(shè)置顯示位置為第二行第1個(gè)字符 m = 0; while(cdis2m != 0) lcd_wdat(cdis2m); /顯示字符 m+; for(j=0;j16;j+) lcd_wcmd(0x18); delay(300); delay(3000); /延時(shí) lcd_wcmd(0x01); /清屏 lcd_pos(0x00); /設(shè)置顯示位置為第一行的第1個(gè)字符 m = 0; while(cdis3m != 0) /顯示字符stop lcd_wdat(cdis3m); m+; lcd_pos(0x08); /設(shè)置顯示位置為第一行第9個(gè)字符 m = 0; while(cdis4m != 0)

31、 lcd_wdat(cdis4m); /顯示字符dw m+; for(m=0;m2;m+) lcd_pos(0x0e+m); /顯示方向符號 lcd_wdat(0x2d); lcd_pos(0x47); /顯示小數(shù)點(diǎn) lcd_wdat(0x2e); m = 0; lcd_pos(0x4a); /顯示字符r/min while(cdis6m != 0) lcd_wdat(cdis6m); m+; m = 0; lcd_pos(0x40); /顯示字符rate: while(cdis7m != 0) lcd_wdat(cdis7m); m+; /*/void data_conv()/檔位數(shù)據(jù)轉(zhuǎn)換子

32、程序 data_temp1=data_temp/10; /高位 if(data_temp1=0) data_temp1=0x20;/高位為0不顯示 else data_temp1=data_temp1+0x30; data_temp2=data_temp%10; /低位 data_temp2=data_temp2+0x30; /*/void data_conv1()/轉(zhuǎn)速數(shù)據(jù)轉(zhuǎn)換子程序 data_temp1=data_temp/1000;/整數(shù)位第一位 if(data_temp1=0) data_temp1=0x20;/高位為0不顯示 else data_temp1=data_temp1+0x

33、30; data_temp2=data_temp%1000/100; /整數(shù)位第2位 data_temp2=data_temp2+0x30; data_temp3=data_temp%100/10; /小數(shù)位第一位 data_temp3=data_temp3+0x30; data_temp4=data_temp%10; /小數(shù)位第二位 data_temp4=data_temp4+0x30; /*/void data_dis() /數(shù)據(jù)顯示子程序 data_temp = rate; /顯示檔位 data_conv(); lcd_pos(0x0b); lcd_wdat(data_temp1); l

34、cd_pos(0x0c); lcd_wdat(data_temp2); zs=1332/rate;/轉(zhuǎn)速換算公式:zs=13.32/rate;data_temp=zs; /顯示轉(zhuǎn)速data_conv1(); lcd_pos(0x45); lcd_wdat(data_temp1); lcd_pos(0x46); lcd_wdat(data_temp2); lcd_pos(0x48); lcd_wdat(data_temp3); lcd_pos(0x49); lcd_wdat(data_temp4);/*/void motor_dr()/顯示運(yùn)行方向符號 if(direction=1) /正轉(zhuǎn)方向標(biāo)志 for(m=0;m號 else for(m=0

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論