數(shù)字模擬電路簡明教程(第三版)第三章_第1頁
數(shù)字模擬電路簡明教程(第三版)第三章_第2頁
數(shù)字模擬電路簡明教程(第三版)第三章_第3頁
數(shù)字模擬電路簡明教程(第三版)第三章_第4頁
數(shù)字模擬電路簡明教程(第三版)第三章_第5頁
已閱讀5頁,還剩150頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、第三章一、組合電路的特點一、組合電路的特點= F0(I0、I1, In - - 1)= F1(I0、I1, In - - 1)= F1(I0、I1, In - - 1))( )(nntIFtY 1. 邏輯功能特點邏輯功能特點 電路在任何時刻的輸出狀態(tài)只取決于該時刻的輸入電路在任何時刻的輸出狀態(tài)只取決于該時刻的輸入 狀態(tài),而與原來的狀態(tài)無關(guān)。狀態(tài),而與原來的狀態(tài)無關(guān)。2. 電路結(jié)構(gòu)特點電路結(jié)構(gòu)特點(1) 輸出、輸入之間輸出、輸入之間沒有反饋延遲沒有反饋延遲電路電路(2) 不包含記憶性元件不包含記憶性元件( (觸發(fā)器觸發(fā)器) ),僅由,僅由門電路門電路構(gòu)成構(gòu)成I0I1In-1Y0Y1Ym-1組合邏

2、輯組合邏輯電路電路二、組合電路邏輯功能表示方法二、組合電路邏輯功能表示方法真值表,卡諾圖,邏輯表達(dá)式,時間圖真值表,卡諾圖,邏輯表達(dá)式,時間圖( (波形圖波形圖) )三、組合電路分類三、組合電路分類1. 按邏輯功能不同:按邏輯功能不同:加法器加法器 比較器比較器 編碼器編碼器 譯碼器譯碼器 數(shù)據(jù)選擇器和分配器數(shù)據(jù)選擇器和分配器 只讀存儲器只讀存儲器2. 按開關(guān)元件不同:按開關(guān)元件不同:CMOS TTL3. 按集成度不同:按集成度不同:SSI MSI LSI VLSI第三章 組合邏輯電路第一節(jié)第一節(jié) 組合電路的分析和設(shè)計組合電路的分析和設(shè)計第二節(jié)第二節(jié) 加法器和數(shù)值比較器加法器和數(shù)值比較器第三節(jié)

3、第三節(jié) 譯碼器和編碼器譯碼器和編碼器第四節(jié)第四節(jié) 數(shù)據(jù)選擇器和數(shù)據(jù)分配器數(shù)據(jù)選擇器和數(shù)據(jù)分配器第五節(jié)第五節(jié) 用中規(guī)模集成電路實現(xiàn)組合邏輯函數(shù)用中規(guī)模集成電路實現(xiàn)組合邏輯函數(shù)第六節(jié)第六節(jié) 只讀存儲器只讀存儲器第七節(jié)第七節(jié) 組合電路中的競爭與冒險組合電路中的競爭與冒險3. 1 組合電路的分析方法和設(shè)計方法組合電路的分析方法和設(shè)計方法3. 1. 1 組合電路的基本分析方法組合電路的基本分析方法一、一、分析步驟分析步驟邏輯圖邏輯圖邏輯表達(dá)式邏輯表達(dá)式化簡化簡真值表真值表說明功能說明功能分析目的:分析目的:(1) 確定輸入變量不同取值時功能是否滿足要求;確定輸入變量不同取值時功能是否滿足要求;(3) 得

4、到輸出函數(shù)的標(biāo)準(zhǔn)與或表達(dá)式,以便用得到輸出函數(shù)的標(biāo)準(zhǔn)與或表達(dá)式,以便用 MSI、 LSI 實現(xiàn);實現(xiàn);(4) 得到其功能的邏輯描述,以便用于包括該電路的系得到其功能的邏輯描述,以便用于包括該電路的系 統(tǒng)分析。統(tǒng)分析。(2) 變換電路的結(jié)構(gòu)形式變換電路的結(jié)構(gòu)形式( (如:如:與或與或 與非與非-與非與非);二、二、分析舉例分析舉例 例例1 1 分析圖中所示電路的邏輯功能分析圖中所示電路的邏輯功能CABCBABCAABCY CBAABC CBAABC 表達(dá)式表達(dá)式真值表真值表A B CY0 0 00 0 10 1 00 1 1A B CY1 0 01 0 11 1 01 1 111000000功能

5、功能判斷輸入信號極性是否相同的電路判斷輸入信號極性是否相同的電路 符合電路符合電路YABC&1 解解 例例 2 分析圖中所示電路的邏輯功能,輸入信號分析圖中所示電路的邏輯功能,輸入信號A、B、C、D是一組二進制代碼。是一組二進制代碼。&ABCDY 解解 1. 逐級寫輸出函數(shù)的邏輯表達(dá)式逐級寫輸出函數(shù)的邏輯表達(dá)式ZXBABABAZ CZCZCZX DXDXDXY &ABCDYZX2. 化簡化簡BABABABABAZ ABCCBACBACBACZCZX DCABCDBABCDADCBA DABCDCBADCBADCBADXDXY 3. 列真值表列真值表A B C DA B C DYY0 0 0 0

6、0 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 111111111000000004. 功能說明:功能說明:當(dāng)輸入四位代碼中當(dāng)輸入四位代碼中 1 的個數(shù)為奇數(shù)時輸出的個數(shù)為奇數(shù)時輸出為為 1,為偶數(shù)時輸出為,為偶數(shù)時輸出為 0 檢奇電路檢奇電路。DCABCDBABCDADCBA DABCDCBADCBADCBADXDXY 例例 3 分析圖中所示電路的邏輯功能。分析圖中所示電路的邏輯功能。 例例 4 分析圖中所示電路的邏輯功能。分析圖中所

7、示電路的邏輯功能。 例例 5 分析圖中所示電路的邏輯功能。分析圖中所示電路的邏輯功能。3.1.2 組合電路的基本設(shè)計方法組合電路的基本設(shè)計方法一、一、 設(shè)計步驟設(shè)計步驟邏輯抽象邏輯抽象列真值表列真值表寫表達(dá)式寫表達(dá)式化簡或變換化簡或變換畫邏輯圖畫邏輯圖邏輯抽象:邏輯抽象:1. 根據(jù)根據(jù)因果關(guān)系因果關(guān)系確定輸入、輸出變量確定輸入、輸出變量2. 狀態(tài)賦值狀態(tài)賦值 用用 0 和和 1 表示信號的不同狀態(tài)表示信號的不同狀態(tài)3. 根據(jù)功能要求列出根據(jù)功能要求列出真值表真值表 根據(jù)所用元器件根據(jù)所用元器件( (分立元件分立元件 或或 集成芯片集成芯片) )的情況將的情況將函數(shù)式進行化簡或變換。函數(shù)式進行化

8、簡或變換?;喕蜃儞Q:化簡或變換:(1)設(shè)定變量:)設(shè)定變量:二、二、 設(shè)計舉例設(shè)計舉例 例例 3 設(shè)計一個表決電路,要求輸出信號的電平與設(shè)計一個表決電路,要求輸出信號的電平與三個輸入信號中的多數(shù)電平一致。三個輸入信號中的多數(shù)電平一致。 解解 輸入輸入 A、B、C , 輸出輸出 Y(2)狀態(tài)賦值:)狀態(tài)賦值:A、B、C = 0 表示表示 輸入信號為低電平輸入信號為低電平Y(jié) = 0 表示表示 輸入信號中多數(shù)為低電平輸入信號中多數(shù)為低電平1. 邏輯抽象邏輯抽象A、B、C = 1 表示表示 輸入信號為高電平輸入信號為高電平Y(jié) = 1 表示表示 輸入信號中多數(shù)為高電平輸入信號中多數(shù)為高電平2. 列真值

9、表列真值表ABCY0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1000101113. 寫輸出表達(dá)式并化簡寫輸出表達(dá)式并化簡ABCCABCBABCAY 最簡與或式最簡與或式最簡與非最簡與非-與非式與非式ABACBCY ABACBC CABCBABC ABACBC 4. 畫邏輯圖畫邏輯圖 用與門和或門實現(xiàn)用與門和或門實現(xiàn)ABACBCY ABYC&ABBC1&AC 用與非門實現(xiàn)用與非門實現(xiàn) ABACBCY &例例4 4:半加器的設(shè)計半加器的設(shè)計(3)列真值表)列真值表2.寫表達(dá)式并進行化簡寫表達(dá)式并進行化簡3.邏輯圖邏輯圖 輸入輸入 輸出輸出被加數(shù)被加數(shù)A 加數(shù)加數(shù)

10、B 和和S 進位進位C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1ABCBABABAS4.4.邏輯符號邏輯符號不考慮進位的兩個一不考慮進位的兩個一位二進制數(shù)相加。位二進制數(shù)相加。1.邏輯抽象邏輯抽象(1)設(shè)定變量:)設(shè)定變量:用用A、B分別表示加數(shù)和被加數(shù),分別表示加數(shù)和被加數(shù),S、C分別表示和和進位。分別表示和和進位。(2)狀態(tài)賦值:)狀態(tài)賦值:用用0和和1分別表示高電平和低電平。分別表示高電平和低電平。ABCABB ABASABCBABABAS將用將用“異或異或”門門實現(xiàn)的半加器改為用實現(xiàn)的半加器改為用“與非與非”門門實現(xiàn)實現(xiàn)函數(shù)表達(dá)式變換形式:函數(shù)表達(dá)式變換形式:用用

11、“與非與非”門實現(xiàn)半加器邏輯圖如圖所示:門實現(xiàn)半加器邏輯圖如圖所示: 例例5 5 設(shè)計一個監(jiān)視交通信號燈工作狀態(tài)的邏輯電設(shè)計一個監(jiān)視交通信號燈工作狀態(tài)的邏輯電路。正常情況下,紅、黃、綠燈只有一個亮,否則視路。正常情況下,紅、黃、綠燈只有一個亮,否則視為故障狀態(tài),發(fā)出報警信號,提醒有關(guān)人員修理。為故障狀態(tài),發(fā)出報警信號,提醒有關(guān)人員修理。 解解 1. 邏輯抽象邏輯抽象輸入變量:輸入變量:1 - 亮亮0 - 滅滅輸出變量:輸出變量:R(紅紅)Y(黃黃)G(綠綠)Z(有無故障有無故障)1 - 有有0 - 無無列真值表列真值表R Y GZ0 0 00 0 10 1 00 1 11 0 01 0 11

12、1 01 1 1100101112. 卡諾圖化簡卡諾圖化簡RYG0100 01 11 1011111YGRGRYGYRZ YGRGRYGYRZ 3. 畫邏輯圖畫邏輯圖&1&111RGYZ例例6 6:試將試將8421BCD碼轉(zhuǎn)換成余碼轉(zhuǎn)換成余3BCD碼碼 8421碼碼 余余3碼碼 B3 B2 B1 B0 E3 E2 E 1 E00 0 0 0 0 0 0 1 11 0 0 0 1 0 1 0 02 0 0 1 0 0 1 0 13 0 0 1 1 0 1 1 04 0 1 0 0 0 1 1 15 0 1 0 1 1 0 0 06 0 1 1 0 1 0 0 17 0 1 1 1 1 0 1 0

13、8 1 0 0 0 1 0 1 19 1 0 0 1 1 1 0 010 1 0 1 0 11 1 0 1 1 12 1 1 0 0 13 1 1 0 1 14 1 1 1 0 15 1 1 1 1 (2)卡諾圖)卡諾圖(1)真值表)真值表 120233BBBBBE(2)卡諾圖(3)表達(dá)式0001011BEBBBBE01212022BBBBBBBE000101101212022120233BEBBBBEBBBBBBBEBBBBBE(4)電路圖)電路圖(3 3)表達(dá)式)表達(dá)式8 8421BCD碼余3碼 全加器是實現(xiàn)全加器是實現(xiàn)例例7:全加器的設(shè)計:全加器的設(shè)計學(xué)生自己完成邏輯電路學(xué)生自己完成邏輯

14、電路全加器邏輯符號全加器邏輯符號全加器真值表全加器真值表 輸入輸入 輸出輸出 Ai Bi Ci-1 Si Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 0 0 0 1 1 1 1 1 0 0 0 0 1 0 1 1 1 1 1 0 0 1 1 1 1 1 1一位二進制數(shù)一位二進制數(shù)一位二進制數(shù)一位二進制數(shù)低位來的進位低位來的進位相加相加和和高位進位高位進位考慮進位的兩個一位考慮進位的兩個一位二進制數(shù)相加。二進制數(shù)相加。1.邏輯抽象:邏輯抽象: 兩個加數(shù)分別為兩個加數(shù)分別為Ai、Bi,低位來的進位為低位來的進位為Ci-1,和為和為Si,向高位的進位為向高位的進位為Ci+1。0-低電平

15、,低電平,1-高電平高電平2.表達(dá)式表達(dá)式111111-iiiiiiiiiiiiiiiiiiiiCBCABACCBACBACBACBAS3.邏輯圖邏輯圖COCISiAiBiCi-1Ci三個地方的開關(guān)分別用三個地方的開關(guān)分別用A、B、C表示,表示,S表示總電源開關(guān),燈用表示總電源開關(guān),燈用Z表示。燈熄滅用表示。燈熄滅用0表示,亮?xí)r用表示,亮?xí)r用1表示;開關(guān)閉合用表示;開關(guān)閉合用1表示,表示,斷開用斷開用0表示。表示。解:解:分析:一般說,四個開關(guān)是不會同時動作的,分析:一般說,四個開關(guān)是不會同時動作的,所以在真值表中,任何時刻都只會有一個變所以在真值表中,任何時刻都只會有一個變量改變?nèi)≈?,所以?/p>

16、循環(huán)碼排列變量量改變?nèi)≈担园囱h(huán)碼排列變量S、A、B、C的取值。列真值表如下:的取值。列真值表如下:S A B CZ0 0 0 00 0 0 10 0 1 10 0 1 00 1 1 00 1 1 10 1 0 10 1 0 01 1 0 01 1 0 11 1 1 11 1 1 01 0 1 01 0 1 11 0 0 11 0 0 00000000010101010根據(jù)真值表可得到下列邏輯表達(dá)式:根據(jù)真值表可得到下列邏輯表達(dá)式:SCBAABCSSCBACSBAZ)(CBAS它不是追求單個函數(shù)最簡,而是追求整體最簡,即就整體而言,所需邏輯門個數(shù)最少,所需輸入端個數(shù)也最少。F1(A,B,C

17、,D)=m(0,1,4,5,9,11,13)F2(A,B,C,D)=m(0,4,11,13,15)ABCD0001111000 01 11 101111111ABCD0001111000 01 11 1011111ACDABDDCAFDBADCCAF21ABCD0001111000 01 11 101111111ABCD0001111000 01 11 1011111F1(A,B,C,D)=m(0,1,4,5,9,11,13)F2(A,B,C,D)=m(0,4,11,13,15)CDBAABDDCAFCDBADCDCAF21ACDABDDCAFDBADCCAF21 例例8 8 設(shè)計一個比較電路

18、,能對兩個兩位二進制數(shù)設(shè)計一個比較電路,能對兩個兩位二進制數(shù)A=AA=A1 1A A2 2,B=BB=B1 1B B2 2進行比較,當(dāng)進行比較,當(dāng)A=BA=B時,輸出函數(shù)時,輸出函數(shù)G=1G=1,當(dāng)當(dāng)A A大于大于B B時,輸出函數(shù)時,輸出函數(shù)M=1M=1,當(dāng)當(dāng)A A小于小于B B時,輸出函數(shù)時,輸出函數(shù)N=1N=1(提示:求出提示:求出M M、N N之后,利用之后,利用M+N+G=1M+N+G=1求求G G。)。)要求用要求用與非門實現(xiàn)。與非門實現(xiàn)。 解解 1. 邏輯抽象邏輯抽象輸入變量:輸入變量: A、A、B、,、,B輸出變量:輸出變量:M、N、G列真值表列真值表A1 A2 B1 B2 G

19、 M NA1A2B1B2G M N0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 0 0 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 0 1 1 0 11 1 1 01 1 1 11 0 00 0 1 0 0 1 0 0 1 0 1 0 1 0 0 0 0 1 0 0 1 0 1 00 1 0 1 0 0 0 0 1 0 1 0 0 1 0 0 1 0 1 0 0 A1A2B1B20001111000 01 11 101111111122111122111122111122112211211)()(BABABAB

20、ABABABABABAABBABABAABBABAMBAA1A2B1B20001111000 01 11 10111111NMGBA )(11212221)(BABBABAANBANMNMGBA)(111122BABABA112211BABABA112211)(BABABAMBAA1A2B1B20001111000 01 11 101111113.2 加法器和數(shù)值比較器加法器和數(shù)值比較器3.2.1 加法器加法器一、半加器和全加器一、半加器和全加器1. 半加器半加器(Half Adder)兩個兩個 1 位二進制數(shù)相加不考慮低位進位。位二進制數(shù)相加不考慮低位進位。iiBA iiCS 0 00 11

21、 01 10 01 01 00 1iiiiiBABAS iiiBAC 真真值值表表函數(shù)式函數(shù)式BA Ai+Bi = Si (和和) Ci (進位進位)邏邏輯輯圖圖曾曾用用符符號號國國標(biāo)標(biāo)符符號號半加器半加器(Half Adder)Si&AiBi=1CiCOSiAiBiCiHASiAiBiCiiiiiiBABAS iiiBAC 函函數(shù)數(shù)式式BA 2. 全加器全加器(Full Adder)兩個兩個 1 位二進制數(shù)相加,考慮低位進位。位二進制數(shù)相加,考慮低位進位。 Ai + Bi + Ci -1 ( 低位進位低位進位 ) = Si ( 和和 ) Ci ( 向高位進位向高位進位 )1 0 1 1 -

22、A 1 1 1 0- B+- 低位進位低位進位100101111真真值值表表1-1-1-1- iiiiiiiiiiiiiCBACBACBACBAS 1111- - - - - iiiiiiiiiiiiiCBACBACBACBAC標(biāo)準(zhǔn)標(biāo)準(zhǔn)與或式與或式A B Ci-10 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1SiCiA B Ci-1SiCi0 01 01 00 11 00 10 11 1- S高位進位高位進位0卡諾圖卡諾圖全加器全加器(Full Adder)ABC0100 01 11 101111SiABC0100 01 11 101111Ci圈圈 “ 0 ”

23、1111 - - - - - iiiiiiiiiiiiiCBACBACBACBAS11- - - iiiiiiiCBCABAC1-1-1-1- iiiiiiiiiiiiiCBACBACBACBAS 11- - - iiiiiiiCBCABAC最簡與或式最簡與或式圈圈 “ 1 ”邏輯圖邏輯圖(a) 用用與門與門、或門或門和和非門非門實現(xiàn)實現(xiàn)曾用符號曾用符號國標(biāo)符號國標(biāo)符號COCISiAiBiCi-1CiFASiAiBiCi-1Ci&1111AiSiCiBiCi-11(b) 用用與或非門與或非門和和非門非門實現(xiàn)實現(xiàn)1111 - - - - - iiiiiiiiiiiiiCBACBACBACBAS1

24、1- - - iiiiiiiCBCABAC&1&1111CiSiAiBiCi-13. 集成全加器集成全加器TTL:74LS183CMOS:C661雙全加器雙全加器1 2 3 4 5 6 714 13 12 11 10 9 8VDD 2Ai2Bi 2Ci-1 1Ci 1Si 2Si 1Ci-1 2Ci 1Ai1Bi VSS VCC 2Ai2Bi 2Ci-1 2Ci 2Si VCC 2A 2B 2CIn 2COn+1 2F1A1B 1CIn1FGND1Ai1Bi1Ci-11Si地地1Ci1COn+1 二、加法器二、加法器(Adder)實現(xiàn)多位二進制數(shù)相加的電路實現(xiàn)多位二進制數(shù)相加的電路1. 4 位

25、串行進位加法器位串行進位加法器特點:特點:電路簡單,連接方便電路簡單,連接方便速度低速度低 = 4 tpdtpd 1位全加器的平均位全加器的平均 傳輸延遲時間傳輸延遲時間 01230123BBBBBAAAAA C0S0B0A0C0-1COS SCIC1S1B1A1COS SCIC2S2B2A2COS SCIC3S3B3A3COS SCI注意:注意:C0-1=02. 超前進位加法器超前進位加法器 作加法運算時,總進位信號由輸入二進制數(shù)直接作加法運算時,總進位信號由輸入二進制數(shù)直接產(chǎn)生。產(chǎn)生。1000000)(- - CBABAC011111)(CBABAC 1000001111)()(- - C

26、BABABABA特點特點優(yōu)點:速度快優(yōu)點:速度快缺點:電路比較復(fù)雜缺點:電路比較復(fù)雜1 )(- - iiiiiiCBABAC1000000)(- - CBABAC 10000011111)()(- - CBABABABAC超前進位電路超前進位電路 S3 S2 S1 S0C3A3B3A2B2A1B1A0B0C0-1CICICICIC0C1C2集成芯片集成芯片CMOS:CC4008TTL: 74283 74LS283應(yīng)用舉例應(yīng)用舉例8421 BCD 碼碼 余余 3 碼碼(二)加法器的應(yīng)用(二)加法器的應(yīng)用加法器的邏輯符號加法器的邏輯符號N位加法運算、代碼轉(zhuǎn)換、減法器、十進制加法位加法運算、代碼轉(zhuǎn)換

27、、減法器、十進制加法加數(shù)加數(shù)被加數(shù)被加數(shù)和和低位進位低位進位進位進位0 0A7A7A6A6A5A5A4 A4 B7B7B6B6B5B5B4B4A3A3A2A2A1A1A0 A0 B3B3B2B2B1B1B0B0F3F3F2F2F1F1F0F0F7F7F6F6F5F5F4F4例:用四位二進制加法器設(shè)計出例:用四位二進制加法器設(shè)計出8位二進制加法器。位二進制加法器。8421 BCD碼轉(zhuǎn)換為余碼轉(zhuǎn)換為余3碼碼 BCD 碼 0 0 1 1余 3 碼 S3 S2 S1 S0C3 C0-1 A3 A2 A1 A0 B3 B2 B1 B0BCD碼碼+0011=余余3碼碼將余將余3 3碼轉(zhuǎn)換為碼轉(zhuǎn)換為8421

28、8421碼碼思考:已知X是3位二進制數(shù),試用四位全加器實現(xiàn)Y=3X?D2D1D0用全加器實現(xiàn)用全加器實現(xiàn)Y=3XY=3X:試用4位并行加法器74LS283設(shè)計一個加/減運算電路。當(dāng)控制信號M=0時它將兩個無符號的四位二進制數(shù)相加,而M=1時它將兩個無符號的四位二進制數(shù)相減。兩數(shù)相加的絕對值不大于15。允許附加必要的門電路。加法器只做加法,相減用補碼運算(相加減的為兩個正數(shù))。設(shè)被減數(shù)為a=a3a2a1a0,減數(shù)為bb3b2b1b0,相減時B取補碼,補碼反碼1。CI輸入M,M0時,b取原碼和C相加;M1時,b取反碼,再加上CI1正好為補碼,和a相加即可。S為和。當(dāng)M0時C0為進位;當(dāng)M1時C0的

29、反為符號位。所以,有,輸入端:A3a3,A2a2 ,A1a1 ,A0a0,CIM000111222333bMDbMBbMbMBbMbMBbMbMB,輸出端:SS3S2S1S0COMZ進位輸出或者符號位: S3 S2 S1 S0 C3 74LS283 C0-1 A3 A2 A1 A0 B3 B2 B1 B0 =1 =1 =1 =1 被加數(shù)/被減數(shù) 加數(shù)/減數(shù) 加減控制 二進制并行加法二進制并行加法/減法器減法器 C0-10時,時,B 0=B,電路電路執(zhí)行執(zhí)行A+B運算;當(dāng)運算;當(dāng)C0-11時,時,B 1=B,電路執(zhí)行電路執(zhí)行AB=A+B+1運算。運算。此電路存在的問題是沒有解決輸出數(shù)的符號位,兩

30、數(shù)相減時如結(jié)果為正則輸出的就是差值,若結(jié)果為負(fù)則輸出的是差值的補碼,所以一定要給出結(jié)果的符號位.電路的改進見下頁.結(jié)論:將兩個加數(shù)的符號位和來自最高位數(shù)字位的進位相加,結(jié)果就是和的符號 3. 2. 2 數(shù)值比較器數(shù)值比較器(Digital Comparator)一、一、1 位數(shù)值比較器位數(shù)值比較器0 00 11 01 10 1 00 0 11 0 00 1 0真真值值表表函數(shù)式函數(shù)式邏輯圖邏輯圖 用用與非門與非門和和非門非門實現(xiàn)實現(xiàn)Ai Bi Li Gi MiLi( A B )Gi( A = B )Mi( A BL = 1A = BM = 1A 100= 100= 100=100=010 00

31、1= 001= 001=001B = B3B2B1B0LGM4 4位數(shù)值比較器位數(shù)值比較器A3 B3 A2 B2 A1 B1 A0 B0&1&1&1&1&1&1&1 1&1&1&1 1 MLGA2A1B3A3B2B1B01 A0G = (A3 B3)(A2 B2) (A1 B1)(A0 B0)4 位數(shù)值比較器位數(shù)值比較器M = A3B3+ (A3 B3) A2B2 + (A3 B3)(A2 B2) A1 B1+ (A3 B3)(A2 B2)(A1 B1) A0B0L = M+G1 位數(shù)值比較器位數(shù)值比較器3M3G2M2G1M1G0M0GAiMiBiAi BiAiBiLiGiAiBi&1&1&)

32、()()(00112233)(00112233112233223333)(01230123)()()()()()()()()()()(BABABABABAYYLBABABABAGBABABABABABABABABABAMBBBBAAAA和比較比比 較較 輸輸 入入級級 聯(lián)聯(lián) 輸輸 入入輸輸 出出A3B3A2B2A1B1A0B0AB FA B 001= 001= 001= 001=001001=010010=100100 100= 100 4 位集成數(shù)值比較器的真值表位集成數(shù)值比較器的真值表級聯(lián)輸入:級聯(lián)輸入:供擴展使用,一般接低位芯片的比較輸出,即供擴展使用,一般接低位芯片的比較輸出,即 接低

33、位芯片的接低位芯片的 FA B 。)(0011223300112233112233223333)()(00112233)()(0011223300112233112233223333)(01230123)()()()()()()()()()()()()()()()()()()()()()()()(BABABABABABAIBABABABABABABABABABABABABABAYIBABABABAYIBABABABABABABABABABABABABABAYBBBBAAAA和比較加上級加上級 聯(lián)聯(lián) 輸輸 入后入后:擴展:擴展:級級聯(lián)聯(lián)輸輸入入 集成數(shù)值比較器集成數(shù)值比較器 74LS85 (TT

34、L) 兩片兩片 4 位位數(shù)值比較器數(shù)值比較器74LS85 AB74LS85 ABVCC A3 B2 A2 A1 B1 A0 B0B3 AB FAB FA=B FAB地地1 2 3 4 5 6 7 816 15 14 13 12 11 10 97485 74LS851 8 位位數(shù)值比較器數(shù)值比較器低位比較結(jié)果低位比較結(jié)果高位比較結(jié)果高位比較結(jié)果 FAB FAB B7 A7 B6 A6 B5 A5 B4 A4 B3 A3 B2 A2 B1 A1 B0 A0 比較輸出比較輸出CMOS 芯片設(shè)置芯片設(shè)置 A B 只是為了電路對稱,不起判斷作用只是為了電路對稱,不起判斷作用B7 A7 B6 A6 B5

35、A5 B4 A4 FAB CC14585 ABB3 A3 B2 A2 B1 A1 B0 A0 FAB CC14585 AB 集成數(shù)值比較器集成數(shù)值比較器 CC15485(CMOS)擴展:擴展: 兩片兩片4 位位 8 位位VDDA3 B3 FAB FABA BA=BA1VSS1 2 3 4 5 6 7 816 15 14 13 12 11 10 9CC14585 C6631低位比較結(jié)果低位比較結(jié)果高位比較結(jié)果高位比較結(jié)果1為附加端,用于擴展和)()()(,BABABAIII輸出允許信號來自低位的比較結(jié)果來自低位的比較結(jié)果BAIIIBABABA,)()()(例例2:用比較器構(gòu)成用:用比較器構(gòu)成用8

36、421BCD碼表示的一位十進制碼表示的一位十進制數(shù)四舍五入電路。數(shù)四舍五入電路。解解: A3A0:8421BCD碼碼B3B B0 0:0 0100(十進制數(shù)十進制數(shù)4)A A B B輸出端用于判別輸出端用于判別用用1片做片做A與與B的比較,另的比較,另1片做片做A與與C的比較,比較的的比較,比較的結(jié)果進行組合,可確定結(jié)果進行組合,可確定3個數(shù)是否相等。個數(shù)是否相等。用用2片比較器做三個數(shù)片比較器做三個數(shù)A,B,C的比較的比較延遲時間最小延遲時間最小的的16位二進制位二進制數(shù)的比較數(shù)的比較3. 3 編碼器和譯碼器編碼器和譯碼器3. 3. 1 編碼器編碼器(Encoder)編碼:編碼:用文字、符號

37、或者數(shù)字表示特定對象的過程用文字、符號或者數(shù)字表示特定對象的過程(用二進制代碼表示不同事物)(用二進制代碼表示不同事物)二進制編碼器二進制編碼器二二十進制編碼器十進制編碼器分類:分類:普通編碼器普通編碼器優(yōu)先編碼器優(yōu)先編碼器2nN104或或Y1I1Y2YmI2In代代碼碼輸輸出出信信息息輸輸入入編編 碼碼 器器 框框 圖圖一、二進制編碼器一、二進制編碼器用用 n 位二進制代碼對位二進制代碼對 N = 2n 個信號進行編碼的電路個信號進行編碼的電路1. 3 位二進制編碼器位二進制編碼器(8 線線- 3 線線)編碼表編碼表函函數(shù)數(shù)式式Y(jié)2 = I4 + I5 + I6 + I7Y1 = I2 +

38、I3+ I6 + I7Y0 = I1 + I3+ I5 + I7輸輸入入輸輸出出 I0 I7 是一組互相排斥的輸入變是一組互相排斥的輸入變量,任何時刻只能有一個端輸入有效量,任何時刻只能有一個端輸入有效信號。信號。輸輸 入入輸輸 出出0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1Y2 Y1 Y0I0I1I2I3I4I5I6I73 位位二進制二進制編碼器編碼器I0I1I6I7Y2Y1Y0I2I4I5I3 函數(shù)式函數(shù)式邏輯圖邏輯圖 用用或門或門實現(xiàn)實現(xiàn) 用用與非門與非門實現(xiàn)實現(xiàn)76542IIIIY 76321IIIIY 75310IIIIY 7654IIII 76

39、32IIII 7531IIII Y2 Y1 Y0111I7 I6 I5 I4 I3I2 I1I0 &Y2 Y1 Y04567IIII23II01II優(yōu)先編碼:優(yōu)先編碼:允許幾個信號同時輸入,但只對優(yōu)先級別最高允許幾個信號同時輸入,但只對優(yōu)先級別最高的進行編碼。的進行編碼。優(yōu)先順序:優(yōu)先順序:I7 I0編碼表編碼表輸輸 入入輸輸 出出 I7 I6 I5 I4 I3 I2 I1 I0 Y2 Y1 Y0 1 1 1 1 0 1 1 1 0 0 0 1 1 0 1 0 0 0 1 1 0 0 0 0 0 0 1 0 1 1 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 1 0 0 1 0

40、 0 0 0 0 0 0 1 0 0 0函數(shù)式函數(shù)式2. 3 位二進制優(yōu)先編碼器位二進制優(yōu)先編碼器45672IIIIY 245345671 IIIIIIIIY 12463465670 IIIIIIIIIIY 輸入輸入輸出輸出為原為原變量變量邏邏輯輯圖圖輸入輸入輸出輸出為反為反變量變量Y2Y1Y0111&1111111111117I6I5I4I3I2I1I0I1112Y1Y0YI7I6I5I4I3I2I1I0ST IST I0 0 I I1 1 I I2 2 I I3 3 I I4 4 I I5 5 I I6 6 I I7 7 Y Y2 2 Y Y1 1 Y Y0 0 Y YEXEX Y YS

41、S 7474LS148LS148使能輸出擴展輸出編碼輸出編碼輸入使能輸入集成集成8線線3線優(yōu)先編碼器線優(yōu)先編碼器7I0I:輸入,低電平有效。優(yōu)先級別依次為:輸入,低電平有效。優(yōu)先級別依次為0I7I2Y0Y:編碼輸出端:編碼輸出端SSS:使能輸入端;:使能輸入端;時,編碼,時,編碼,時,禁止編碼。時,禁止編碼。sYS:使能輸出端,編碼狀態(tài)下(:使能輸出端,編碼狀態(tài)下(=0=0),),若無輸入信號,若無輸入信號,sY=0=0EXYS:擴展輸出端,編碼狀態(tài)下(:擴展輸出端,編碼狀態(tài)下(=0=0),),若有輸入信號,若有輸入信號,EXY=0=0,管腳定義:管腳定義:級聯(lián)應(yīng)用時可做輸出位的擴展端。級聯(lián)應(yīng)

42、用時可做輸出位的擴展端。編碼輸出編碼輸出編碼輸入編碼輸入使能輸入使能輸入使能輸出使能輸出擴展輸出擴展輸出74LS148內(nèi)部電路集成集成8線線3線優(yōu)先編碼器線優(yōu)先編碼器CT74LS148輸入輸出ST I7 I6 I5 I4 I3 I2 I1 I0Y2 Y1 Y0 YEX YS 1 X X X X X X X X 1 1 1 1 10 1 1 1 1 1 1 1 1 1 1 1 1 00 0 X X X X X X X 0 0 0 0 10 1 0 X X X X X X 0 0 1 0 10 1 1 0 X X X X X 0 1 0 0 10 1 1 1 0 X X X X 0 1 1 0 1

43、0 1 1 1 1 0 X X X 1 0 0 0 10 1 1 1 1 1 0 X X 1 0 1 0 10 1 1 1 1 1 1 0 X 1 1 0 0 10 1 1 1 1 1 1 1 0 1 1 1 0 1(二)編碼器的應(yīng)用(二)編碼器的應(yīng)用(3 3)第一片工作時)第一片工作時, ,編碼器輸出:編碼器輸出0111第二片工作時第二片工作時, ,編碼器輸出編碼器輸出:1000-1111:1000-1111解:(解:(1 1)編碼器輸入)編碼器輸入1616線線, ,用兩片用兩片8-38-3線線編碼器,高位為第一片,低位為第二片編碼器,高位為第一片,低位為第二片

44、(2 2)實現(xiàn)優(yōu)先編碼:高位選通輸出與低位)實現(xiàn)優(yōu)先編碼:高位選通輸出與低位控制端連接控制端連接例例1414:用用8-38-3線優(yōu)先編碼器線優(yōu)先編碼器CT74LS148CT74LS148擴展擴展成成1616線線-4-4線編碼器。線編碼器。應(yīng)用應(yīng)用 Y0 Y1 Y2 Y3 YEX Y0 Y1 Y2 YEXYS 低位片 ST I0 I1 I2 I3 I4 I5 I6 I7 Y0 Y1 Y2 YEXYS 高位片 ST I0 I1 I2 I3 I4 I5 I6 I7I0 I1 I2 I3 I4 I5 I6 I7 I8 I9 I10 I11 I12 I13 I14 I15&集成集成3 3位二進制優(yōu)先編碼

45、器位二進制優(yōu)先編碼器7474LS148LS148的級聯(lián)的級聯(lián)16線線-4線優(yōu)先編碼器線優(yōu)先編碼器優(yōu)優(yōu)先先級級別別從從015 II遞遞降降 應(yīng)用應(yīng)用高位選通輸高位選通輸出與低位控出與低位控制端連接制端連接. .第一片工作時第一片工作時, ,編碼器輸出:編碼器輸出0111第二片工作時第二片工作時, ,編碼器輸編碼器輸出出:1000-1111:1000-1111用用 4 位二進制代碼對位二進制代碼對 0 9 十個信號進行編碼的電路十個信號進行編碼的電路1. 8421 BCD 編碼器(課本編碼器(課本167)2. 8421 BCD 優(yōu)先編碼器優(yōu)先編碼器3. 集成集成 10

46、線線 -4線線優(yōu)先編碼器優(yōu)先編碼器(74147 74LS147)三、幾種常用編碼三、幾種常用編碼1. 二二-十進制編碼十進制編碼8421 碼碼 余余 3 碼碼 2421 碼碼5211 碼碼 余余 3 循環(huán)碼循環(huán)碼 右移循環(huán)碼右移循環(huán)碼循環(huán)碼(反射碼或格雷碼)循環(huán)碼(反射碼或格雷碼)ISO碼碼ANSCII(ASCII)碼碼二、二二、二- -十進制編碼器十進制編碼器2. 其他其他二二-十進制十進制編碼器編碼器I0I2I4I6I8I1I3I5I7I9Y0Y1Y2Y38421BCD優(yōu)先編碼器優(yōu)先編碼器8421BCD優(yōu)先編碼器優(yōu)先編碼器I9 I8 I7 I6 I5 I4 I3 I2 I1 I0 Y3 Y

47、2 Y1 Y0 1 0 1 0 0 1 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 1 0 0 1 1 0 0 0 0 1 1 1 0 1 1 0 0 1 0 1 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 0 0 0 真值表真值表優(yōu)優(yōu)先先級級別別從從 I9至至 I0遞遞降降 邏輯表達(dá)式邏輯表達(dá)式 12468346856878912345678934567895678978990245893458968978923456

48、7893456789678978914895896897894567895678967897892898993IIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIYIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIYIIIIIIIIIIIIIIIIIIIIIIIIIIIIIIYIIIIIY邏輯圖邏輯圖11111111 I9 I8 I7 I6 I5 I4 I3 I2 I1 I0 Y3 Y2 Y1 Y01 &1 &1&1在在每每一一個個輸輸入入端端和和輸輸出出端端都都加加上上反反相相器器,便便可可得得到到輸輸入入和和輸輸出出均均為為反反變變量量

49、的的 8421 BCD碼碼優(yōu)優(yōu)先先編編碼碼器器。 10 線線-4線線優(yōu)優(yōu)先先編編碼碼器器 若要求:輸入端和輸出端都是低電平有效,只若要求:輸入端和輸出端都是低電平有效,只需要在輸入端和輸出端加反相器即可!需要在輸入端和輸出端加反相器即可! 16 15 14 13 12 11 10 974LS147 1 2 3 4 5 6 7 8VCC NC Y3 I3 I2 I1 I9 Y0I4 I5 I6 I7 I8 Y2 Y1 GND集成集成10線線-4線優(yōu)先編碼器線優(yōu)先編碼器輸輸入入端端和和輸輸出出端端都都是是低低電電平平有有效效 3.3.2 譯碼器譯碼器(Decoder)編碼的逆過程,將二進制代碼翻譯

50、為原來的含義編碼的逆過程,將二進制代碼翻譯為原來的含義一、二進制譯碼器一、二進制譯碼器 (Binary Decoder) 輸入輸入 n 位二位二進制代碼進制代碼如:如: 2 線線 4 線譯碼器線譯碼器 3 線線 8 線譯碼器線譯碼器4 線線 16 線譯碼器線譯碼器A0Y0A1An-1Y1Ym-1二進制二進制譯碼器譯碼器輸出輸出 m 個個信號信號 m = 2n1. 3位二進制譯碼器位二進制譯碼器 ( 3 線線 8 線線)真值表真值表函數(shù)式函數(shù)式0127AAAY 0120AAAY 0121AAAY 0122AAAY 0123AAAY 0124AAAY 0125AAAY 0126AAAY A0Y0A

51、1A2Y1Y73 位位二進制二進制譯碼器譯碼器012 AAA01234567 YYYYYYYY0 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 13 線線 - 8 線譯碼器邏輯圖線譯碼器邏輯圖000 輸出低電平有效輸出低電平有效工作原理:工作原理:11111101&Y7&Y6&Y5&Y4&Y3&Y2&Y1&Y0A2

52、A2A1A1A0A0111111A2A1A0001111101110101011111101111101111100111110111011111111011011011111111011111112. 集成集成 3 線線 8 線譯碼器線譯碼器 - 74LS138引腳排列圖引腳排列圖功能示意圖功能示意圖321 SSS、輸入選通控制端輸入選通控制端1S 0321 SS或或芯片芯片禁止禁止工作工作0 1321 SSS且且芯片芯片正常正常工作工作VCC 地地1324567816 15 14 13 12 11 10974LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 S3 S2

53、S1 Y7 74LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 S3 S2 S1 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0 A1 A2 STB STC STA Y7 3. 二進制譯碼器的級聯(lián)二進制譯碼器的級聯(lián) 兩片兩片3 線線 8 線線4 線線-16 線線Y0Y7Y8Y1574LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 STB STC STA 高位高位Y7 A0 A1 A2 A3 74LS138Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 STB STC STA 低位低位Y7 10工作工作禁止禁止有輸出有輸出無輸出無輸出

54、 1禁止禁止工作工作無輸出無輸出有輸出有輸出0 78 15三片三片 3 線線- 8 線線5 線線 - 24 線線34 AA(1)()(2)()(3)輸輸 出出工工 禁禁 禁禁70 YY禁禁 工工 禁禁158 YY禁禁 禁禁 工工2316 YY0 00 11 01 1禁禁 禁禁 禁禁全為全為 174LS138 (1)Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 STB STC STA Y0Y7 Y774LS138 (3)Y0 Y1 Y2 Y3 Y4 Y5 Y6 A0 A1 A2 STB STC STA Y16Y7 Y2374LS138 (2)Y0 Y1 Y2 Y3 Y4 Y5 Y6

55、 A0 A1 A2 STB STC STA Y8Y7 Y15A0A1A2A3A41功能特點:功能特點: 輸出端提供全部最小項輸出端提供全部最小項電路特點:電路特點: 與門與門( (原變量輸出原變量輸出) )與非門與非門( (反變量輸出反變量輸出) )4. 二進制譯碼器的主要特點二進制譯碼器的主要特點二、二二、二-十進制譯碼器十進制譯碼器(Binary-Coded Decimal Decoder)將將 BCD 碼翻譯成對應(yīng)的碼翻譯成對應(yīng)的十個十個輸出信號輸出信號集成集成 4 線線 10 線線譯碼器:譯碼器: 7442 74LS42半導(dǎo)體顯示半導(dǎo)體顯示(LED)液晶顯示液晶顯示(LCD)共共陽極陽

56、極每字段是一只每字段是一只發(fā)光二極管發(fā)光二極管三、顯示譯碼器三、顯示譯碼器數(shù)碼顯示器數(shù)碼顯示器aebcfgdabcdefgR+ 5 VYaA3A2A1A0+VCC+VCC顯示顯示譯碼器譯碼器共陽共陽YbYcYdYeYfYg00000000001000100101001111001001000110100010101100000110100110001001000100000 低電平低電平驅(qū)動驅(qū)動011100011111000000000010010000100一種既具有液體的流動性,又具有光學(xué)一種既具有液體的流動性,又具有光學(xué)特性的有機化合物。其透明性和呈現(xiàn)的顏色受外加特性的有機化合物。其透明

57、性和呈現(xiàn)的顏色受外加電場的影響。利用此特性可以制成字符顯示器。電場的影響。利用此特性可以制成字符顯示器。反射電極反射電極透明電極透明電極玻璃蓋板玻璃蓋板玻璃蓋板玻璃蓋板共共陰極陰極abcdefgR+5 VYaA3A2A1A0+VCC顯示顯示譯碼器譯碼器共陰共陰YbYcYdYeYfYg 高電平高電平驅(qū)動驅(qū)動00001111110000100100110000110110100110100010101100111100010011111001011001110110111011111111000011111111111011aebcfgd2、BCD七段顯示譯碼器七段顯示譯碼器 BCD七段顯示譯碼器

58、七段顯示譯碼器真值表僅適用于共陰極真值表僅適用于共陰極LED真值表真值表 A3A2 A1A0 00 01 11 10 00 1 0 1 01 0 1 1 11 1 1 10 1 0 0201023AAAAAAAa a的卡諾圖的卡諾圖 A3A2 A1A0 00 01 11 10 00 1 1 1 01 1 0 1 11 1 1 10 1 0 b的卡諾圖的卡諾圖 A3A2 A1A0 00 01 11 10 00 1 1 1 01 1 1 1 11 1 1 10 0 1 c的卡諾圖的卡諾圖01012AAAAAb 012AAAc A3A2 A1A0 00 01 11 10 00 1 0 1 01 0

59、1 0 11 1 0 10 1 1 d的卡諾圖的卡諾圖 A3A2 A1A0 00 01 11 10 00 1 0 1 01 0 0 0 11 0 0 10 1 1 e的卡諾圖的卡諾圖012120102AAAAAAAAAd 0102AAAAe A3A2 A1A0 00 01 11 10 00 1 1 1 01 0 1 1 11 0 0 10 0 1 f的卡諾圖的卡諾圖 A3A2 A1A0 00 01 11 10 00 0 1 1 01 0 1 1 11 1 0 10 1 1 g的卡諾圖的卡諾圖0212013AAAAAAAf 1212013AAAAAAAg 邏輯表達(dá)式邏輯表達(dá)式1212013021

60、20130102012120102012010120201023AAAAAAAgAAAAAAAfAAAAeAAAAAAAAAdAAAcAAAAAbAAAAAAAa 驅(qū)動共陰極數(shù)碼管的電路驅(qū)動共陰極數(shù)碼管的電路 輸出輸出高電平高電平有效有效YaYbYcYdYeYfYgA3A2A1A011111111111111111111111驅(qū)動共陽極數(shù)碼管的電路驅(qū)動共陽極數(shù)碼管的電路A3A2A1A0YaYbYcYdYeYfYg 輸出輸出低電平低電平有效有效&1&111&11、用二進制譯碼器實現(xiàn)邏輯函數(shù)、用二進制譯碼器實現(xiàn)邏輯函數(shù) 畫出用二進制譯碼器和與非門實現(xiàn)這些函數(shù)的接線圖。畫出用二進制譯碼器和與非門實現(xiàn)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論