單片機(jī)模糊控制的智能交通燈_畢業(yè)設(shè)計(jì)完整版_第1頁(yè)
單片機(jī)模糊控制的智能交通燈_畢業(yè)設(shè)計(jì)完整版_第2頁(yè)
單片機(jī)模糊控制的智能交通燈_畢業(yè)設(shè)計(jì)完整版_第3頁(yè)
單片機(jī)模糊控制的智能交通燈_畢業(yè)設(shè)計(jì)完整版_第4頁(yè)
單片機(jī)模糊控制的智能交通燈_畢業(yè)設(shè)計(jì)完整版_第5頁(yè)
已閱讀5頁(yè),還剩46頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、武漢紡織大學(xué)畢業(yè)設(shè)計(jì)(論文)任務(wù)書(shū)課題名稱(chēng): 基于模糊控制的智能交通燈控制系統(tǒng) 完成期限: 2010年2月22日至2010年 5月27日院系名稱(chēng) 電子信息工程學(xué)院 指導(dǎo)教師 張海霞 專(zhuān)業(yè)班級(jí) 自動(dòng)化062 指導(dǎo)教師職稱(chēng) 講師 學(xué)生姓名 張貝 院系畢業(yè)設(shè)計(jì)(論文)工作領(lǐng)導(dǎo)小組組長(zhǎng)簽字 一、課題訓(xùn)練內(nèi)容1. 使學(xué)生進(jìn)一步鞏固和加深對(duì)基礎(chǔ)理論、基本技能和專(zhuān)業(yè)知識(shí)的認(rèn)識(shí)和掌握,使之條理化、系統(tǒng)化和綜合化。了解和熟悉交通燈控制的方法,掌握AT89S51單片機(jī)的使用方法。2. 培養(yǎng)學(xué)生綜合運(yùn)用基礎(chǔ)知識(shí)和基本技能進(jìn)行分析問(wèn)題和解決實(shí)際問(wèn)題的能力,將理論與實(shí)踐結(jié)合,培養(yǎng)觀察生活和體驗(yàn)生活的能力。3. 培養(yǎng)學(xué)生

2、的設(shè)計(jì)計(jì)算、工程繪圖、實(shí)驗(yàn)方法、數(shù)據(jù)處理、文件編輯、文字表達(dá)、文獻(xiàn)查閱、MS Office、PROTEL、Keil C51等專(zhuān)業(yè)軟件應(yīng)用和程序調(diào)試等基本實(shí)踐能力,以及外文資料的閱讀和翻譯的基本技能,使學(xué)生初步掌握科學(xué)研究的基本方法。4. 培養(yǎng)學(xué)生利用單片機(jī)進(jìn)行應(yīng)用系統(tǒng)開(kāi)發(fā)的能力,掌握單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)的一般方法和步驟,達(dá)到能夠進(jìn)行單片機(jī)系統(tǒng)設(shè)計(jì)的目的。熟悉和掌握AT89S51單片機(jī)的調(diào)試方法繼而系統(tǒng)設(shè)計(jì)。5. 使學(xué)生樹(shù)立符合國(guó)情和生產(chǎn)實(shí)際的正確設(shè)計(jì)思想和觀點(diǎn),培養(yǎng)嚴(yán)謹(jǐn)、負(fù)責(zé)、實(shí)事求是、刻苦鉆研、用于探索、具有創(chuàng)新意識(shí)、善于與他人合作的工作作風(fēng)。6. 使學(xué)生獲得從事科研工作的初步訓(xùn)練,培養(yǎng)學(xué)生獨(dú)

3、立工作、獨(dú)立思考和綜合運(yùn)用已學(xué)知識(shí)解決實(shí)際問(wèn)題的能力,尤其注重培養(yǎng)學(xué)生獨(dú)立獲取新知識(shí)的能力。二、設(shè)計(jì)(論文)任務(wù)和要求1. 設(shè)計(jì)任務(wù)1) 以MSC-51系列單片機(jī)和可編程并行I/O接口芯片8255A為中心器件,設(shè)計(jì)一個(gè)智能交通燈控制系統(tǒng)。2) 設(shè)計(jì)能動(dòng)態(tài)檢測(cè)道口車(chē)流量的系統(tǒng),使十字路口的紅綠燈能根據(jù)車(chē)流量的大小自動(dòng)改變紅綠燈的顯示時(shí)間。3) 設(shè)計(jì)對(duì)應(yīng)紅綠燈的顯示電路,并采用倒計(jì)時(shí)顯示。4) 設(shè)計(jì)系統(tǒng)應(yīng)用程序以及各功能模塊子程序。2、設(shè)計(jì)要求1)系統(tǒng)運(yùn)行穩(wěn)定可靠。2)車(chē)流量自動(dòng)檢測(cè)功能,并達(dá)到準(zhǔn)確和有效作用。3)實(shí)時(shí)顯示紅綠燈時(shí)間,并采用倒計(jì)時(shí)方式。4)系統(tǒng)框圖和詳細(xì)原理圖,并對(duì)各部分進(jìn)行詳細(xì)說(shuō)

4、明。5)要考慮經(jīng)濟(jì)性、實(shí)用性和可靠性。6)武漢科技學(xué)院畢業(yè)設(shè)計(jì)(論文)格式模板要求書(shū)寫(xiě)設(shè)計(jì)報(bào)告。三、畢業(yè)設(shè)計(jì)(論文)主要參數(shù)及主要參考資料1. 主要參考資料1 謝維成,楊加國(guó).單片機(jī)原理與應(yīng)用及C51程序設(shè)計(jì)M.北京:清華技大學(xué)出版社,2006. 2 余錫存,曹?chē)?guó)華.單片機(jī)原理及接口技術(shù)M.陜西:西安電子科技大學(xué)出版設(shè)社,2000.7.3 求是科技.單片機(jī)典型模塊設(shè)計(jì)實(shí)例導(dǎo)航M.北京:人民郵電出版社,2004.4 邊海龍,孫永奎.單片機(jī)開(kāi)發(fā)與典型工程項(xiàng)目實(shí)例詳解M.北京:電子工業(yè)出版社,2008.5 閻石.數(shù)字電子技術(shù)基礎(chǔ)(第三版)M.北京:高等教育出版社,1989.6 馀吉萬(wàn).城市文通的計(jì)算

5、機(jī)控制和管理M.北京:測(cè)繪出版杜,19857 張輝.8051單片機(jī)控制交通信號(hào)燈的方法研究J.邵陽(yáng)師范高等專(zhuān)科學(xué)校學(xué)報(bào),2001,23(5)8 李靜.單交叉口交通燈信號(hào)模糊控制及其仿真J.微計(jì)算機(jī)信息,2006,22(7).9 李明.基于微波檢測(cè)器交通燈智能控制系統(tǒng)的研究與設(shè)計(jì)J.西南民族大學(xué)學(xué)報(bào)(自然科學(xué)版),2007,33(4).10 田海,薛艷春.基于模糊控制的十字路口交通燈控制系統(tǒng)J.自動(dòng)化與信息工程,2008,29(4). 四、畢業(yè)設(shè)計(jì)(論文)進(jìn)度表武漢科技學(xué)院畢業(yè)設(shè)計(jì)(論文)進(jìn)度表序號(hào)起止日期計(jì)劃完成內(nèi)容實(shí)際完成情況檢查人簽名檢查日期12010.2.22-2010.3.1查閱資料,

6、了解城市交通燈控制系統(tǒng)的發(fā)展和方法。22010.3.2-2010.3.9確定方案,確定系統(tǒng)框圖,進(jìn)行可行性分析,進(jìn)行方案改進(jìn)。32010.3.10-2010.3.22總結(jié)所查資料,確定最終的系統(tǒng)結(jié)構(gòu)框架,完成開(kāi)題報(bào)告。42010.3.23-2010.4.2繪制電路原理圖,進(jìn)行硬件分析,改進(jìn)不足,完善設(shè)計(jì)方案,計(jì)算、選擇所要的硬件元器件的參數(shù)和型號(hào)。 52010.4.3-2010.4.14收集編程相關(guān)輔助資料和工具。62010.4.15-2010.4.29編寫(xiě)和調(diào)試各模塊程序。72010.4.30-2010.5.14完成論文的初稿,并交指導(dǎo)老師修改。82010.5.15-2010.5.22修改論

7、文的初稿,資料的再搜索。92010.5.23-2010.5.27完成撰寫(xiě)畢業(yè)論文所需工作,并制作PPT,準(zhǔn)備答辯。武漢科技學(xué)院畢業(yè)設(shè)計(jì)(論文)開(kāi)題報(bào)告課題名稱(chēng)基于模糊控制的智能交通燈控制系統(tǒng)院系名稱(chēng)電子信息學(xué)院專(zhuān) 業(yè)自動(dòng)化班 級(jí)自動(dòng)化062學(xué)生姓名張 貝一、 課題的意義和依據(jù)隨著社會(huì)經(jīng)濟(jì)的發(fā)展,城市交通問(wèn)題越來(lái)越引起人們的關(guān)注。人、車(chē)、路三者關(guān)系的協(xié)調(diào),已成為交通管理部門(mén)需要解決的重要問(wèn)題之一。城市交通控制系統(tǒng)是用于城市交通數(shù)據(jù)監(jiān)測(cè)、交通信號(hào)燈控制與交通疏導(dǎo)的計(jì)算機(jī)綜合管理系統(tǒng),它是現(xiàn)代城市交通監(jiān)控指揮系統(tǒng)中最重要的組成部分。當(dāng)今時(shí)代是一個(gè)自動(dòng)化時(shí)代,交通燈控制等很多行業(yè)的設(shè)備都與計(jì)算機(jī)密切相

8、關(guān)。因此,一個(gè)好的交通燈控制系統(tǒng),將給道路擁擠、違章控制等方面給予技術(shù)革新。十字路口車(chē)輛穿梭,行人熙攘,車(chē)行車(chē)道,人行人道,有條不紊。那么靠什么來(lái)實(shí)現(xiàn)這井然秩序呢?靠的是交通信號(hào)燈的自動(dòng)指揮系統(tǒng)。智能的交通信號(hào)燈指揮著人和各種車(chē)輛的安全運(yùn)行,實(shí)現(xiàn)紅、黃、綠燈的自動(dòng)指揮是城鄉(xiāng)交通管理現(xiàn)代化的重要課題。在城鄉(xiāng)街道的十字交叉路口,為了保證交通秩序和行人安全。一般在每條道路上各有一組紅、黃、綠交通信號(hào)燈,其中紅燈亮,表示該條道路禁止通行; 黃燈亮,表示該條道路上未過(guò)停車(chē)線(xiàn)的車(chē)輛停止通行,已過(guò)停車(chē)線(xiàn)的車(chē)輛繼續(xù)通行; 綠燈亮,表示該條道路允許通行。交通燈控制電路自動(dòng)控制十字路口兩組紅、黃、綠交通燈的狀態(tài)轉(zhuǎn)

9、換,指揮各種車(chē)輛和行人安全通行,實(shí)現(xiàn)十字路口城鄉(xiāng)交通管理自動(dòng)化。二、 智能交通燈的發(fā)展歷史和研究現(xiàn)狀城市道路交通自動(dòng)控制系統(tǒng)的發(fā)展是以城市交通信號(hào)控制技術(shù)為前導(dǎo),與汽車(chē)工業(yè)并行發(fā)展的。在其各個(gè)發(fā)展階段,由于交通的各種矛盾不斷出現(xiàn),人們總是盡可能地把各個(gè)歷史階段當(dāng)時(shí)的最新科技成果應(yīng)用到交通自動(dòng)控制中來(lái),從而促進(jìn)了交通自動(dòng)控制技術(shù)的不斷發(fā)展。 早在1850年,城市交叉口處不斷增長(zhǎng)的交通就引發(fā)了人們對(duì)安全和擁堵的關(guān)注。世界上第一臺(tái)交通自動(dòng)信號(hào)燈的誕生,拉開(kāi)了城市交通控制的序幕,1868年,英國(guó)工程師納伊特在倫敦威斯特敏斯特街口安裝了一臺(tái)紅綠兩色的煤氣照明燈,用來(lái)控制交叉路口馬車(chē)的通行,但一次煤氣爆炸

10、事故致使這種交通信號(hào)燈幾乎銷(xiāo)聲匿跡了近半個(gè)世紀(jì)。1914年及稍晚一些時(shí)候,美國(guó)的克利夫蘭、紐約和芝加哥才重新出現(xiàn)了交通信號(hào)燈,它們采用電力驅(qū)動(dòng),與現(xiàn)在意義上的信號(hào)燈已經(jīng)相差無(wú)幾。1926年英國(guó)人第一次安裝和使用自動(dòng)化的控制器來(lái)控制交通信號(hào)燈,這是城市交通自動(dòng)控制的起點(diǎn)。 1917年,在美國(guó)鹽湖市開(kāi)始使用聯(lián)動(dòng)式信號(hào)系統(tǒng),把六個(gè)交叉路口作為一個(gè)系統(tǒng),以人工方式加以集中控制。1922年,美國(guó)休斯頓市建立了一個(gè)同步系統(tǒng),它以一個(gè)交通亭為中心控制十二個(gè)交叉路口。1928年,上述系統(tǒng)經(jīng)過(guò)改進(jìn),形成“靈活步進(jìn)式”定時(shí)系統(tǒng);由于它簡(jiǎn)單、可靠、價(jià)格便宜,很快在美國(guó)推廣普及。這種系統(tǒng)以后不斷改進(jìn)、完善,成為當(dāng)今的

11、協(xié)調(diào)控制系統(tǒng)。 20世紀(jì)30年代初,美國(guó)最早開(kāi)始用車(chē)輛感應(yīng)式信號(hào)控制器,之后是英國(guó),當(dāng)時(shí)使用的車(chē)輛檢測(cè)器是氣動(dòng)橡皮管檢測(cè)器。車(chē)輛感應(yīng)控制器的特點(diǎn)是它能根據(jù)檢測(cè)器測(cè)量的交通流量來(lái)調(diào)整綠燈時(shí)間的長(zhǎng)短,使綠燈時(shí)間更有效地被利用,減少車(chē)輛在交叉口的時(shí)間延誤,比定時(shí)控制方式有更大的靈活性。車(chē)輛感應(yīng)控制的這一特點(diǎn)刺激了車(chē)輛檢測(cè)器技術(shù)的發(fā)展。繼氣動(dòng)橡皮管式檢測(cè)器之后,雷達(dá)、超聲波、光電、地磁、電磁、微波、紅外以及環(huán)形線(xiàn)圈等檢測(cè)器相繼問(wèn)世。當(dāng)今在城市道路交通自動(dòng)控制、交通監(jiān)測(cè)和交通數(shù)據(jù)采集系統(tǒng)中,應(yīng)用最廣的是環(huán)形線(xiàn)圈車(chē)輛檢測(cè)器。超聲波檢測(cè)器主要在日本等少數(shù)國(guó)家得到廣泛應(yīng)用。伴隨著城市交通信號(hào)控制系統(tǒng)的迅速發(fā)展

12、。人們認(rèn)識(shí)到,要更好地提高城市管理水平,不僅僅依靠硬件設(shè)備的更新和改進(jìn),還必須同時(shí)在控制邏輯和方法上有所突破,即城市交通的區(qū)域協(xié)調(diào)控制。傳統(tǒng)的城市道路交通控制指的是區(qū)域交叉口信號(hào)燈控制,而城市交通的區(qū)域協(xié)調(diào)控制,是在整個(gè)城市范圍內(nèi)對(duì)交通進(jìn)行控制,這無(wú)論是從理論角度還是實(shí)踐角度,都是一個(gè)極其復(fù)雜的大系統(tǒng)控制問(wèn)題。 進(jìn)入20世紀(jì)80年代后期,隨著城市化進(jìn)程的加快和汽車(chē)的普及,城市交通擁擠、阻塞現(xiàn)象日趨惡化,由此引發(fā)的事故、噪聲和環(huán)境污染己成為日益嚴(yán)重的社會(huì)問(wèn)題,交通問(wèn)題成為困擾世界各國(guó)的普遍性難題。人們對(duì)交通系統(tǒng)的規(guī)模復(fù)雜性和開(kāi)放性特征有了更深一層的認(rèn)識(shí),并開(kāi)始意識(shí)到單獨(dú)考慮車(chē)輛或道路方面很難從根

13、本上解決交通擁擠現(xiàn)象,只有把路口交通流運(yùn)行與信號(hào)控制的藕合作用綜合考慮,且賦以現(xiàn)代的各種高新技術(shù)方可徹底消除有關(guān)問(wèn)題。于是,智能交通系統(tǒng)(ITS)應(yīng)運(yùn)而生,并得到迅猛發(fā)展。 可以說(shuō),在近百年的發(fā)展中,道路交通信號(hào)控制系統(tǒng)經(jīng)歷了無(wú)感應(yīng)控制到有感應(yīng)控制、手動(dòng)控制到自動(dòng)控制再到智能控制、單點(diǎn)控制(點(diǎn)控)到干線(xiàn)控制(線(xiàn)控)再到區(qū)域控制和網(wǎng)絡(luò)控制(面控)的過(guò)程。目前設(shè)計(jì)交通燈的方案有很多,有應(yīng)用CPLD設(shè)計(jì)實(shí)現(xiàn)交通信號(hào)燈控制器方法;有應(yīng)用PLC實(shí)現(xiàn)對(duì)交通燈控制系統(tǒng)的設(shè)計(jì);有應(yīng)用單片機(jī)實(shí)現(xiàn)對(duì)交通信號(hào)燈設(shè)計(jì)的方法。目前,國(guó)內(nèi)的交通燈一般設(shè)在十字路門(mén),在醒目位置用紅、綠、黃三種顏色的指示燈。加上一個(gè)倒計(jì)時(shí)的顯

14、示計(jì)時(shí)器來(lái)控制行車(chē)。對(duì)于一般情況下的安全行車(chē),車(chē)輛分流尚能發(fā)揮作用,但根據(jù)實(shí)際行車(chē)過(guò)程中出現(xiàn)的情況,還存在缺點(diǎn):兩車(chē)道的車(chē)輛輪流放行時(shí)間相同且固定, 在十字路口,經(jīng)常一個(gè)車(chē)道為主干道,車(chē)輛較多,放行時(shí)間應(yīng)該長(zhǎng)些;另一車(chē)道為副干道,車(chē)輛較少,放行時(shí)間應(yīng)該短些。針對(duì)道路交通擁擠,交叉路口經(jīng)常出現(xiàn)擁堵。利用單片機(jī)控制技術(shù),提出了軟件和硬件設(shè)計(jì)方案及改進(jìn)措施:根據(jù)各道路路口車(chē)流量的大小自動(dòng)調(diào)節(jié)通行時(shí)間。三、 研究的基本內(nèi)容設(shè)計(jì)一個(gè)單片機(jī)控制的交通燈系統(tǒng),使其能模仿城市十字路口的交通燈功能;運(yùn)用模糊控制原理設(shè)計(jì)能根據(jù)十字路口車(chē)流量來(lái)自動(dòng)調(diào)整紅綠燈時(shí)間的交通控制器,并能進(jìn)行特殊控制,這就是研究的基本內(nèi)容。

15、設(shè)計(jì)的要求如下:1.設(shè)計(jì)一個(gè)十字路口的交通燈控制電路,要求南北方向和東西方向兩個(gè)交叉路口的車(chē)輛交替運(yùn)行,兩個(gè)方向能根據(jù)車(chē)流量的大小自動(dòng)調(diào)節(jié)運(yùn)行時(shí)間,車(chē)流量大,通行時(shí)間長(zhǎng);車(chē)流量小,通行時(shí)間短。2.該設(shè)計(jì)能控制東 ,南,西,北四個(gè)道口紅,綠信號(hào)燈正常工作。3. 當(dāng)東西方向準(zhǔn)行,南北方向禁行時(shí),東西方向亮綠燈,南北方向亮紅燈。 當(dāng)南北方向準(zhǔn)行,東西方向禁行時(shí),南北方向亮綠燈,東西方向亮紅燈。4.東西方向,南北方向車(chē)道除了有紅、綠燈指示燈外,每種燈亮的時(shí)間都用數(shù)碼管顯示器顯示,并采用倒計(jì)時(shí)方法。5.同步設(shè)置人行橫道紅、綠燈指示。要解決的主要問(wèn)題是:1.兩車(chē)道車(chē)輛輪流放行時(shí)間相同且固定,而在十字路口,

16、經(jīng)常一個(gè)車(chē)道為主干道,車(chē)輛較多,放行時(shí)間應(yīng)該長(zhǎng)些,另一車(chē)道為副干道,車(chē)輛較少,放行時(shí)間應(yīng)該短些;這是要解決的第一個(gè)問(wèn)題。2.要考慮緊急車(chē)通過(guò)時(shí),兩車(chē)道應(yīng)該采取的措施。3.運(yùn)用模糊控制理論來(lái)檢測(cè)道口的車(chē)流量來(lái)控制系統(tǒng)紅綠燈的顯示時(shí)間。四、 研究步驟、方法及措施:?jiǎn)纹瑱C(jī)控制交通燈系統(tǒng)需要軟件和硬件結(jié)合。硬件:采用MCS-51系列單片機(jī)AT89S51一片與可編程并行I/ O接口芯片8255A為中心器件來(lái)設(shè)計(jì)交通燈控制器。利用到單片機(jī)內(nèi)部資源有定時(shí)計(jì)數(shù)器、單片機(jī)與鍵盤(pán)接口、串并行接口、時(shí)鐘電路、系統(tǒng)顯示電路等。共陰極的七段數(shù)碼管8個(gè),雙向晶閘管若干,紅綠交通燈,開(kāi)關(guān)鍵盤(pán)及連線(xiàn)若干。軟件:交通信號(hào)燈控制

17、管理,基本上涉及到單片機(jī)系統(tǒng)中擴(kuò)展簡(jiǎn)單接口的方法;數(shù)據(jù)輸出程序的設(shè)計(jì)方法;模擬交通燈控制的方法。 在此基礎(chǔ)上可對(duì)其進(jìn)行改進(jìn),加入中斷的要求,從而涉及外部中斷技術(shù)的基本使用方法和編程方法。8051 內(nèi)部定時(shí)/計(jì)數(shù)器的使用和編程方法。主要包括:每秒鐘的設(shè)定程序,計(jì)數(shù)器硬件延時(shí)程序,軟件延時(shí)程序,時(shí)間及信號(hào)燈的顯示程序,最后再綜合成程序源代碼。系統(tǒng)總框圖如下:需要研究的主要內(nèi)容:1.掌握單片機(jī)的原理,熟悉匯編語(yǔ)言或者C語(yǔ)言;2.選擇合適的擴(kuò)展器件,搭建控制電路;3.系統(tǒng)軟件和硬件設(shè)計(jì);4.實(shí)現(xiàn)交通信號(hào)控制,并將紅綠燈的時(shí)間在LED上顯示出來(lái)。5.模糊控制器的設(shè)計(jì)和實(shí)現(xiàn)。五、 主要參考文獻(xiàn):1 張毅坤

18、.單片微型計(jì)算機(jī)原理及應(yīng)用M.陜西:西安電子科技大學(xué)出版社,1998. 2 余錫存,曹?chē)?guó)華.單片機(jī)原理及接口技術(shù)M.陜西:西安電子科技大學(xué)出版社,2000.7.3 雷麗文.微機(jī)原理與接口技術(shù)M.北京:電子工業(yè)出版社,1997.4 葉挺秀.應(yīng)用電子學(xué)M.杭州:浙江大學(xué)出版社,1994.5 朱承高.電子及電子技術(shù)基礎(chǔ)(第三版)M.北京:高等教育出版社,1990.6 閻石.數(shù)字電子技術(shù)基礎(chǔ)(第三版)M.北京:高等教育出版社,1989.7 周潤(rùn)景.PEOTEUS在MCS-51&ARM7系統(tǒng)中的英語(yǔ)那個(gè)百例M.北京:電子工業(yè)出版社,2006.8 李朝青.單片機(jī)原理及接口技術(shù)(第三版)M.北京:北京航空航

19、天大學(xué)出版社,2005.9 楊佩昆.交通管理與控制M.北京:人民文通出版社,1992.10 馀吉萬(wàn).城市文通的計(jì)算機(jī)控制和管理M.北京:測(cè)繪出版杜,198511 張輝8051單片機(jī)控制交通信號(hào)燈的方法研究J邵陽(yáng)師范高等專(zhuān)科學(xué)校學(xué)報(bào),2001,23(5)12 李廣弟單片機(jī)基礎(chǔ)M北京:北京航空航天大學(xué)出版社,2001. 13 趙佩華單片機(jī)接口技術(shù)及應(yīng)用J北京:0t械工業(yè)出版社,2003指導(dǎo)教師簽名: 年 月 日摘 要隨著經(jīng)濟(jì)的發(fā)展,城市現(xiàn)代化程度不斷提高,交通需求和交通量迅速增長(zhǎng),城市交通網(wǎng)絡(luò)中交通擁擠日益嚴(yán)重,道路運(yùn)輸所帶來(lái)的交通擁堵、交通事故和環(huán)境污染等負(fù)面效應(yīng)也日益突出,逐步成為經(jīng)濟(jì)和社會(huì)發(fā)

20、展中的全球性共同問(wèn)題。 本系統(tǒng)采用MSC-51系列單片機(jī)和可編程并行I/O接口芯片8255A為中心器件來(lái)設(shè)計(jì)交通燈控制器,進(jìn)行交通路口的管理。它用簡(jiǎn)單的硬件電路模擬交通信號(hào)燈的交替變換,實(shí)現(xiàn)紅綠燈循環(huán)點(diǎn)亮,用LED數(shù)碼管作為倒計(jì)時(shí)指示。本次設(shè)計(jì)中增加了車(chē)流量檢測(cè)電路,運(yùn)用模糊控制算法來(lái)自動(dòng)調(diào)整紅綠燈時(shí)間,實(shí)時(shí)的控制當(dāng)前交通燈時(shí)間使LED顯示器進(jìn)行倒計(jì)時(shí)工作并與狀態(tài)燈保持同步,在保持交通安全的同時(shí)最大限度的提高交通能順暢交替運(yùn)行,大大提高交通運(yùn)輸?shù)倪\(yùn)行效率,還可以減少交通事故,節(jié)省能源消耗,具有巨大的現(xiàn)實(shí)意義。關(guān)鍵詞:路口管理; 模糊控制; 51單片機(jī);車(chē)流量檢測(cè); 交通燈ABSTRACTWit

21、h the development of economy and the improvement of urban modernization level, the demand of traffic and the number of cars have been growing rapidly. As the traffic congestion, traffic accidents and environment pollution has become more obvious, that, it has become the global economic and social pr

22、oblem.This system uses MSC-51 series microcontroller and 8255A to design the traffic controller to manage the traffic road. It uses simple hardware circuit to simulate the alternative transformation of the traffic lights and to realize the circular illumination of the lights. We use the LED as the c

23、ountdown instructions. In this project, we add the traffic detection circuit, which uses the fuzzy control algorithm to change the time of the traffic lights automatically to control the traffic lights time. This design can make sure that the LED keeps the pace with the state lamp. What is more, whi

24、le it can keep the traffic safe, at the same time, it can make the traffic running smoothly, operation efficient, and also can reduce the number of traffic accidents, save energy consumption. Above all, this system has great realistic signification. Keywords: Intersection management; Fuzzy logic con

25、trol; MCU51; traffic detection ; Traffic light目 錄1 緒論11.1 交通燈研究的背景和意義11.2 國(guó)內(nèi)外交通燈研究的發(fā)展概況12 系統(tǒng)的總體方案設(shè)計(jì)與分析32.1 交通燈總體設(shè)計(jì)的描述32.2 交通燈控制系統(tǒng)的工作原理42.2.1 十字路口調(diào)度系統(tǒng)模糊控制器的設(shè)計(jì)42.2.2 路口管理系統(tǒng)模糊控制器52.2.3 十字路口車(chē)輛通行模式82.2.4 交通燈的工作原理83 系統(tǒng)硬件電路設(shè)計(jì)93.1 單片機(jī)最小系統(tǒng)外圍電路93.1.1 AT89S51芯片內(nèi)部結(jié)構(gòu)93.1.2 AT89S51單片機(jī)主要引腳功能103.1.3 看門(mén)狗電路133.1.4 82

26、55A芯片簡(jiǎn)介133.1.5 74LS373簡(jiǎn)介143.2 顯示電路153.2.1 時(shí)間顯示電路的設(shè)計(jì)153.2.2 紅綠燈的顯示電路163.3 車(chē)流量檢測(cè)電路173.4 緊急車(chē)通行電路184 系統(tǒng)軟件設(shè)計(jì)184.1 軟件總體設(shè)計(jì)思想184.2 軟件主要模塊程序流程圖184.2.1 系統(tǒng)初始化程序184.2.2 模糊控制器程序204.2.3 系統(tǒng)顯示模塊程序設(shè)計(jì)204.2.4 緊急狀態(tài)控制模塊215 總結(jié)與展望21參考文獻(xiàn)23附 錄24外文資料25中文譯文31致 謝351 緒論1.1 交通燈研究的背景和意義隨著社會(huì)經(jīng)濟(jì)的發(fā)展,城市交通問(wèn)題越來(lái)越引起人們的關(guān)注。人、車(chē)、路三者關(guān)系的協(xié)調(diào),已成為交

27、通管理部門(mén)需要解決的重要問(wèn)題之一。城市交通控制系統(tǒng)是用于城市交通數(shù)據(jù)檢測(cè)、交通信號(hào)燈控制與交通疏導(dǎo)的計(jì)算機(jī)綜合管理系統(tǒng),它是現(xiàn)代城市交通監(jiān)控指揮系統(tǒng)中最重要的組成部分。交通燈是城市經(jīng)濟(jì)活動(dòng)的命脈,對(duì)城市經(jīng)濟(jì)發(fā)展、人民生活水平的提高起著十分重要的作用。城市交通問(wèn)題是困擾城市發(fā)展、制約城市經(jīng)濟(jì)將設(shè)的重要因素。城市道路增長(zhǎng)的有限與車(chē)輛增加的無(wú)限這一對(duì)矛盾事故導(dǎo)致城市交通擁擠的根本原因。城市街道網(wǎng)絡(luò)上的交通容量的不斷增加,表明車(chē)輛對(duì)道路容量的要求仍然很高,短期內(nèi)還不可能改變。自從開(kāi)始使用計(jì)算機(jī)控制系統(tǒng)后,不管在控制硬件里取得什么樣的實(shí)際發(fā)展,交通控制領(lǐng)域的控制邏輯方面始終沒(méi)能取得重大突破。可以肯定的說(shuō)

28、,對(duì)于減輕交通擁塞及其副作用特別是對(duì)于大的交通網(wǎng)絡(luò)而言,仍然缺乏一種真正的交通響應(yīng)控制策略。計(jì)算機(jī)硬件能力與控制軟件能力很不相符,由此造成的影響是很多交通控制策略根本不能實(shí)現(xiàn)。在少數(shù)幾個(gè)例子中,一些新的控制策略確實(shí)能得以實(shí)現(xiàn),但他們卻沒(méi)能對(duì)早期的控制策略進(jìn)行改進(jìn)。由于缺乏能提高交通狀況、特別是缺乏擁塞網(wǎng)絡(luò)交通狀況的實(shí)時(shí)控制策略,幾乎可以說(shuō)真正成熟的控制策略仍然不存在。智能化和集成化是城市交通信號(hào)控制系統(tǒng)的發(fā)展趨勢(shì)和研究前沿,而針對(duì)交通系統(tǒng)規(guī)模復(fù)雜性特征的控制結(jié)構(gòu)和針對(duì)城市交通瓶頸問(wèn)題并代表智能決策的阻塞處理則是智能交通控制優(yōu)化管理的關(guān)鍵和突破口。因此,研究基于智能集成的城市交通信號(hào)控制系統(tǒng)具有

29、相當(dāng)?shù)膶W(xué)術(shù)價(jià)值和實(shí)用價(jià)值。把智能控制引入到城市交通控制系統(tǒng)中,未來(lái)的城市交通控制系統(tǒng)才能適應(yīng)城市交通的發(fā)展1。從長(zhǎng)遠(yuǎn)來(lái)看,該研究具有巨大的現(xiàn)實(shí)意義。1.2 國(guó)內(nèi)外交通燈研究的發(fā)展概況隨著經(jīng)濟(jì)的發(fā)展,城市現(xiàn)代化程度不斷提高,交通需求和交通量迅速增長(zhǎng),城市交通網(wǎng)絡(luò)中交通擁擠日益嚴(yán)重,道路運(yùn)輸所帶來(lái)的交通擁堵、交通事故和環(huán)境污染等負(fù)面效應(yīng)也日益突出,逐步成為經(jīng)濟(jì)和社會(huì)發(fā)展中的全球性共同問(wèn)題。交通問(wèn)題已經(jīng)日益成為世界性的難題,城市交通事故、交通阻塞和交通污染問(wèn)題愈加突出。為了解決車(chē)和路的矛盾,常用的有兩種方法:一是控制需求,最直接的辦法就是限制車(chē)輛的增加;二是增加供給,也就是修路。但是這兩個(gè)辦法都有其

30、局限性。交通是社會(huì)發(fā)展和人民生活水平提高的基本條件,經(jīng)濟(jì)的發(fā)展必然帶來(lái)出行的增加,而且在我國(guó)汽車(chē)工業(yè)正處在起步階段的時(shí)期,因此限制車(chē)輛的增加不是解決問(wèn)題的好方法。而采取增加供給,即大量修筑道路基礎(chǔ)設(shè)施的方法,在資源、環(huán)境矛盾越來(lái)越突出的今天,面對(duì)越來(lái)越擁擠的交通,有限的源和財(cái)力以及環(huán)境的壓力,也將受到限制。這就需要依靠除限制需求和提供道路設(shè)施之外的其他方法來(lái)滿(mǎn)足日益增長(zhǎng)的交通需求。交通系統(tǒng)正是解決這一矛盾的途徑之一。智能交通系統(tǒng)是將先進(jìn)的信息技術(shù)、數(shù)據(jù)通訊傳輸技術(shù)、電子傳感技術(shù)、電子控制技術(shù)及計(jì)算機(jī)處理技術(shù)等有效的集成運(yùn)用于整個(gè)地面交通管理系統(tǒng)而建立的一種在大范圍內(nèi)、全方位發(fā)揮作用的實(shí)時(shí)、準(zhǔn)確

31、、高效的綜合交通運(yùn)輸管理系統(tǒng)。對(duì)城市交通流進(jìn)行智能控制,可以使道路暢通,提高交通效率。合理進(jìn)行交通控制可以對(duì)交通流進(jìn)行有效的引導(dǎo)和調(diào)度,使交通保持在一個(gè)平穩(wěn)的運(yùn)行狀態(tài),從而避免或緩和交通擁擠狀況,大大提高交通運(yùn)輸?shù)倪\(yùn)行效率,還可以減少交通事故,增加交通安全,降低污染程度,節(jié)省能源消耗,本文就是通過(guò)對(duì)交叉路口交通信號(hào)的智能控制,達(dá)到優(yōu)化路口交通流的目的2。交通系統(tǒng)作為一個(gè)時(shí)變的、具有隨機(jī)性的復(fù)雜系統(tǒng),傳統(tǒng)的人為設(shè)定多種方案或是建立各種預(yù)測(cè)模型均比較困難。城市交通控制研究的起源比較早。1868年,英國(guó)倫敦燃汽信號(hào)燈的問(wèn)世,標(biāo)志著城市交通控制的開(kāi)始。1913年,在美國(guó)俄亥俄州的Cleveland市出

32、現(xiàn)了世界上最早的交通信號(hào)控制。1926年美國(guó)的芝加哥市采用了交通燈控制方案,每個(gè)交叉口設(shè)有唯一的交通燈,適用于單一的交通流。從此,交通控制技術(shù)和相關(guān)的控制算法得到了發(fā)展和改善,提高了交通控制的安全性、有效性,并減少了對(duì)環(huán)境的影響。進(jìn)入20世紀(jì)70年代,隨著計(jì)算機(jī)技術(shù)和自動(dòng)控制技術(shù)的發(fā)展,以及交通流理論的不斷完善,交通運(yùn)輸組織與優(yōu)化理論和技術(shù)水平不斷提高,控制手段越來(lái)越先進(jìn),形成了一批商水平有實(shí)效的城市道路交通控制系統(tǒng)。早在1977年,Pappis等人就將模糊控制運(yùn)用到交通控制上,通過(guò)建立規(guī)則庫(kù)或是專(zhuān)家系統(tǒng)對(duì)各種交通狀況進(jìn)行模糊控制,并取得了很好的效果。近年來(lái),歐美日本等相繼建立了智能交通控制系

33、統(tǒng)。在這些系統(tǒng)中,大部分都在路口附近安裝磁性環(huán)路檢測(cè)器,還使用了新型檢測(cè)器等技術(shù)和設(shè)備。這些現(xiàn)代化設(shè)備技術(shù)加上控制理論和現(xiàn)代化科學(xué)管理技術(shù),使得交通控制系統(tǒng)日益完善。隨著一些研究控制理論的學(xué)者投身到交通控制的研究中,在交通信號(hào)控制領(lǐng)域提出了一些新方法、新思路。如靜態(tài)多段配時(shí)控制、準(zhǔn)動(dòng)態(tài)多段配時(shí)控制、最優(yōu)控制、大系統(tǒng)遞階控制、模糊控制、神經(jīng)網(wǎng)絡(luò)控制,網(wǎng)絡(luò)路由控制等。模糊交通控制已經(jīng)成為了交通信號(hào)控制的主流方向之一。雖然模糊控制能有效處理模糊信息,但是產(chǎn)生的規(guī)則比較粗糙,利用規(guī)則表查表進(jìn)行控制,運(yùn)算速度雖然比較快,但沒(méi)有自學(xué)習(xí)功能。而且這些研究有些以相序固定為前提。不能保證相序與實(shí)際交通流狀況的一

34、致性,影響了綠燈時(shí)間的利用率。有些研究則提出了可變相序的模糊控制方法,提高了綠燈時(shí)間的利用率,彌補(bǔ)了相序固定的缺點(diǎn),但同時(shí)也存在一些不足。例如目前應(yīng)用比較好的交通系統(tǒng):SCOOT(經(jīng)典交通系統(tǒng)),他們都是主要采用統(tǒng)計(jì)模型和經(jīng)典算法。但城市交通系統(tǒng)是一個(gè)復(fù)雜的、隨機(jī)性很強(qiáng)的巨型系統(tǒng),要想建立實(shí)用性較強(qiáng)的數(shù)學(xué)模型是十分困難。利用模糊控制智能控制技術(shù)進(jìn)行交叉口信號(hào)燈控制能取得比定時(shí)控制與感應(yīng)控制更好的效果,是今后單交叉路口信號(hào)燈控制的主要研究方向3。目前,國(guó)內(nèi)的交通燈一般設(shè)在十字路門(mén),在醒目位置用紅、綠、黃三種顏色的指示燈。加上一個(gè)倒計(jì)時(shí)的顯示計(jì)時(shí)器來(lái)控制行車(chē)。對(duì)于一般情況下的安全行車(chē),車(chē)輛分流尚能

35、發(fā)揮作用,但根據(jù)實(shí)際行車(chē)過(guò)程中出現(xiàn)的情況,還存在缺點(diǎn):兩車(chē)道的車(chē)輛輪流放行時(shí)間相同且固定, 在十字路口,經(jīng)常一個(gè)車(chē)道為主干道,車(chē)輛較多,放行時(shí)間應(yīng)該長(zhǎng)些;另一車(chē)道為副干道,車(chē)輛較少,放行時(shí)間應(yīng)該短些。2 系統(tǒng)的總體方案設(shè)計(jì)與分析2.1 交通燈總體設(shè)計(jì)的描述目前設(shè)計(jì)交通燈的設(shè)計(jì)方案有很多,有應(yīng)用CPLD實(shí)現(xiàn)交通信號(hào)燈控制器的設(shè)計(jì),有應(yīng)用PLC設(shè)計(jì)實(shí)現(xiàn)對(duì)交通燈控制系統(tǒng),同時(shí)還有應(yīng)用單片機(jī)實(shí)現(xiàn)對(duì)交通燈設(shè)計(jì)的方法。針對(duì)道路擁擠,交叉路口經(jīng)常出現(xiàn)擁堵的現(xiàn)象,我們提出利用單片機(jī)控制技術(shù),采用軟件和硬件結(jié)合的方案,以及一些改進(jìn)措施,實(shí)現(xiàn)可以根據(jù)各道路口車(chē)流量來(lái)自動(dòng)調(diào)節(jié)通行時(shí)間的交通燈控制系統(tǒng)。由于AT89S

36、51單片機(jī)自帶有2個(gè)定時(shí)計(jì)數(shù)器,5個(gè)中斷源,能滿(mǎn)足系統(tǒng)的設(shè)計(jì)要求。用單片機(jī)設(shè)計(jì)不但涉及簡(jiǎn)單,而且成本低。用其設(shè)計(jì)的交通燈能滿(mǎn)足要求,所以本文采用單片機(jī)設(shè)計(jì)十字路口的交通燈。本系統(tǒng)設(shè)計(jì)是基于模糊控制理論的單片機(jī)控制交通燈系統(tǒng)。采用AT89S51單片機(jī)作控制器。根據(jù)實(shí)際生活中十字路口紅綠燈交替變換的特點(diǎn),本系統(tǒng)的硬件電路主要由單片機(jī)控制電路、車(chē)流量檢測(cè)電路以及時(shí)間和紅綠燈顯示電路。為了使十字路口在最短的時(shí)間內(nèi)達(dá)到最大的車(chē)流量,即達(dá)到最佳的性能和最高的效率,我們采用在各個(gè)路口檢測(cè)過(guò)往的車(chē)流量,通過(guò)車(chē)流量來(lái)決定紅綠燈的點(diǎn)亮?xí)r間。當(dāng)前比較流行的車(chē)流量檢測(cè)器件就是一種自感式的車(chē)輛傳感器。它的工作原理是當(dāng)車(chē)

37、輛經(jīng)過(guò)傳感器時(shí),引起其自感的變化。考慮到畢業(yè)設(shè)計(jì)的資金和時(shí)間問(wèn)題,本系統(tǒng)采用一種手動(dòng)的操作方式,即車(chē)流量的檢測(cè)電路用撥斷開(kāi)關(guān)代替。本系統(tǒng)結(jié)合生活實(shí)際,主要實(shí)現(xiàn)人行道、車(chē)輛直行、車(chē)輛左轉(zhuǎn)和右轉(zhuǎn)、緊急情況處理、根據(jù)車(chē)流量自動(dòng)調(diào)整時(shí)間等功能。紅燈亮表示車(chē)輛、行人禁止通行,綠燈亮表示車(chē)輛行人可以通過(guò)。通行倒計(jì)時(shí)顯示采用LED數(shù)碼管,通行指示燈采用發(fā)光二極管,LED顯示采用動(dòng)態(tài)動(dòng)態(tài)掃描,以節(jié)省端口數(shù)。特殊緊急車(chē)輛通行采用實(shí)時(shí)中斷完成。車(chē)流量變大時(shí),可通過(guò)模糊控制結(jié)果(本系統(tǒng)中采用撥斷開(kāi)關(guān))來(lái)改變十字路口的各個(gè)方向的通車(chē)時(shí)間,使交通更順暢,減少堵塞。按以上系統(tǒng)要求設(shè)計(jì),該系統(tǒng)具有電路簡(jiǎn)單,設(shè)計(jì)方便,耗電較

38、少,可靠性高等特點(diǎn)。緊急情況用外部中斷INTO控制,緊急情況結(jié)束后,再發(fā)一個(gè)終端來(lái)恢復(fù)以前的狀態(tài)。根據(jù)以上介紹,得到系統(tǒng)硬件框圖如圖2.1所示。圖2.1 系統(tǒng)硬件框圖2.2 交通燈控制系統(tǒng)的工作原理2.2.1 十字路口調(diào)度系統(tǒng)模糊控制器的設(shè)計(jì)1.模糊控制系統(tǒng)的結(jié)構(gòu)模糊控制能避開(kāi)對(duì)象的數(shù)學(xué)模型(微分、狀態(tài)、傳遞函數(shù)等)。可以說(shuō)模糊控制器是一種語(yǔ)言變量的控制器。模糊控制系統(tǒng)的示意圖如圖2.2所示,圖中,虛線(xiàn)框中為模糊控制器。其中yr為系統(tǒng)設(shè)定值,y為系統(tǒng)輸出值,它們都是清晰量。e和ui也是清晰量,E和U是模糊量。從圖2.2可看出,模糊控制器的輸入是系統(tǒng)的偏差量e,在計(jì)算機(jī)控制系統(tǒng)中它具有確定值數(shù)字

39、量。經(jīng)過(guò)模糊化處理,用模糊語(yǔ)言E來(lái)描述偏差,若以T(E)記作E的語(yǔ)言集合,則有T(E)=(NB,NM,NS,ZE,PS,PM,PB)上式表示將E分為7段,其中:NB負(fù)大(negative big) NM負(fù)中(negative medium)NS負(fù)小(negative small) ZE零(zero)PS正?。╬ositive) PM正中(positive medium)PB正大(positive big)圖2.2 模糊控制系統(tǒng)結(jié)構(gòu)(1) 模糊化模糊化是將模糊控制器輸入量的確定值轉(zhuǎn)換為相應(yīng)模糊語(yǔ)言變量值的過(guò)程,此相應(yīng)語(yǔ)言變量值均由對(duì)應(yīng)的隸屬度來(lái)定義。(2) 模糊推理模糊推理包括三部分:大前提、小

40、前提和結(jié)論。大前提是多個(gè)多維模糊條件語(yǔ)句,構(gòu)成規(guī)則庫(kù);小前提是一個(gè)模糊判斷句,又稱(chēng)事實(shí)。以已知的規(guī)則庫(kù)和輸入變量為依據(jù),基于模糊變換推出新的模糊命題作為結(jié)論的過(guò)程叫做模糊推理。(3)清晰化清晰化是將模糊推理后得到的模糊集轉(zhuǎn)換為用作控制的數(shù)字值的過(guò)程4。2.模糊控制器的特點(diǎn)與傳統(tǒng)的控制器相比,模糊控制有以下特點(diǎn):(1)適用于不易獲得精確數(shù)學(xué)模型的被控對(duì)象,其結(jié)構(gòu)參數(shù)不是很清楚或難以求得,只要求掌握操作人員或領(lǐng)域?qū)<业慕?jīng)驗(yàn)或知識(shí)。(2)模糊控制是一種語(yǔ)言變量控制器,其控制規(guī)則只用語(yǔ)言變量形式定性的表達(dá),構(gòu)成了被控對(duì)象的模糊模型。在經(jīng)典控制中,系統(tǒng)模型是用傳遞函數(shù)來(lái)描述的;在現(xiàn)代控制領(lǐng)域中,則用狀態(tài)

41、方程來(lái)描述。(3)系統(tǒng)的魯棒性強(qiáng),尤其適用于非線(xiàn)性、時(shí)變、滯后系統(tǒng)的控制5。2.2.2 路口管理系統(tǒng)模糊控制器一般情況下,紅綠燈設(shè)在十字路口或在多干道德岔口上,目的是為了調(diào)整岔口的交通秩序。而且,目前國(guó)內(nèi)使用的紅綠燈都是固定的工作時(shí)間,并且自動(dòng)切換。紅燈時(shí)間和綠燈時(shí)間是根據(jù)道口東西向和南北向的車(chē)流量。利用統(tǒng)計(jì)方法確定的。但是,實(shí)際上不同時(shí)刻的車(chē)輛流通狀況是十分復(fù)雜的,是高度非線(xiàn)性的、隨機(jī)的,還經(jīng)常受人為因素的影響。采用定時(shí)控制經(jīng)常造成道口有效時(shí)間應(yīng)用的浪費(fèi),出現(xiàn)綠燈方向車(chē)輛較少,紅燈方向車(chē)輛積壓。在人工控制時(shí),交通警察不斷地觀察十字道口兩個(gè)方向的車(chē)輛密度和流速,并由此決定是否切換紅綠燈,以保證

42、最佳的道路交通控制狀態(tài)。用常規(guī)閉環(huán)控制技術(shù),在自動(dòng)紅綠燈管理中達(dá)到人工控制的最佳狀態(tài)是十分困難的,這是由于十字路口交通動(dòng)態(tài)模型是很難用數(shù)字方式表達(dá)的,交警的判斷決策過(guò)程也難用簡(jiǎn)單的程序?qū)崿F(xiàn),所以我們采用模糊控制來(lái)解決自動(dòng)紅綠燈的最佳控制問(wèn)題。(1)工作原理根據(jù)前面對(duì)模糊控制器的介紹,實(shí)現(xiàn)紅綠燈模糊控制必須解決以下幾個(gè)問(wèn)題:1)對(duì)當(dāng)前十字道口的交通狀況的檢測(cè)。2)輸入量的模糊化:確定每一輸入量的論域,模糊子集和從屬函數(shù)。3)輸出量及其模糊化:輸出量論域、模糊子集和從屬度函數(shù)。4)設(shè)計(jì)將輸入映照到輸出的模糊規(guī)則。決定被激活的模糊規(guī)則的組合方式和清晰化處理,生成精確的輸出控制信號(hào)。(2)系統(tǒng)采集兩個(gè)

43、輸入量1)綠燈方向車(chē)流量單位時(shí)間通過(guò)道口的車(chē)輛數(shù)量。2)紅燈方向排隊(duì)等候車(chē)輛數(shù)。為了采集上述數(shù)據(jù),在十字道口的四側(cè)共設(shè)置了8個(gè)傳感器。傳感器的設(shè)置如圖2.3所示。紅燈期間排隊(duì)等候的車(chē)輛數(shù)量有兩部分構(gòu)成,其一為上次綠燈期間遺留下來(lái)的車(chē)輛。返端傳感器與道口距離100米,假設(shè)車(chē)輛平均長(zhǎng)度為n,則N內(nèi)可能滯留的車(chē)輛最大數(shù)量為N/n,如20輛,因此,變量“紅燈方向排隊(duì)等候車(chē)輛數(shù)”的論域?yàn)椋?-20),它將分為三個(gè)模糊子集:少、 中、 多,其從屬度函數(shù)設(shè)計(jì)如圖2.4所示。 圖2.4 紅綠燈期間車(chē)輛數(shù)的隸屬函數(shù)(3) 輸出及其模糊分類(lèi) 1)南北向綠燈時(shí)間延時(shí)tsn2)東西向紅燈延時(shí)時(shí)間tew現(xiàn)有紅綠交通燈自

44、動(dòng)系統(tǒng)設(shè)定綠燈時(shí)間為常值,通常每一秒方向綠燈35秒?,F(xiàn)將每一方向綠燈時(shí)間分為兩部分,其一為固定的35秒。作為道口狀態(tài)參數(shù)采集時(shí)間,其二為根據(jù)當(dāng)前狀態(tài),由模糊邏輯決策的延時(shí),最大延時(shí)時(shí)間是隨著道口交通情況而變化的,上限為20秒,結(jié)果每一方向綠燈時(shí)間間隔為30-55秒。由此,綠燈時(shí)間延時(shí)tsn、tew的論域定義為(0-20),將其分三個(gè)模糊子集:T長(zhǎng)、T中、T短。輸入量和輸出量的論域、模糊子集、從屬度函數(shù)的設(shè)計(jì)關(guān)系、整個(gè)系統(tǒng)的控制效果與性能,需要根據(jù)對(duì)整個(gè)控制系統(tǒng)的要求,采用試探修正法設(shè)計(jì),與設(shè)計(jì)者的經(jīng)驗(yàn)有密切關(guān)系。6(4)模糊規(guī)則的確定此系統(tǒng)有兩個(gè)輸入和一個(gè)輸出。在沒(méi)有任何數(shù)據(jù)資料的情況下,我們

45、只能根據(jù)經(jīng)驗(yàn)設(shè)計(jì)其模糊規(guī)則7。其結(jié)構(gòu)如表2-1所示。表2-1 模糊規(guī)則結(jié)構(gòu)表XY多中少多T短T短T中中T短T中T長(zhǎng)少T中T長(zhǎng)T長(zhǎng)根據(jù)以上得模糊規(guī)則圖,可以得出9條模糊規(guī)則,我們把它記為9種狀態(tài),如表2-2所示。表2-2 9種模糊狀態(tài)狀態(tài)XYTG1多多T短G2多中T短G3中多T短G4多少T中G5中中T中G6中少T中G7中少T長(zhǎng)G8少中T長(zhǎng)G9少少T長(zhǎng)其中,規(guī)定T短=30s、T中=40s、T長(zhǎng)=55s。2.2.3 十字路口車(chē)輛通行模式十字路口交通流在東南西北四個(gè)方向上均有左行、直行和右行三個(gè)車(chē)道車(chē)流。為了確保交通的井然有序,十字路口車(chē)輛通行順序如圖2.5所示,分別設(shè)定為S1、S2、S3、S4,交通

46、燈以這四種狀態(tài)為一個(gè)周期,循環(huán)執(zhí)行。在實(shí)際生活中,一般的右行車(chē)道是總閃綠燈的,但是為了便于行人過(guò)馬路,故在一定的時(shí)間內(nèi)會(huì)使右轉(zhuǎn)綠燈跳變?yōu)榧t燈,以便于行人過(guò)馬路。在本次設(shè)計(jì)中,我們?cè)谥毙熊?chē)輛通過(guò)的一段時(shí)間內(nèi),同時(shí)也使行人過(guò)馬路。圖2.2的S2和S4這兩種狀態(tài)在同一個(gè)時(shí)間段中四個(gè)方向都可以通車(chē),這種狀態(tài)可以在一定的時(shí)間內(nèi)達(dá)到較大的車(chē)流量,效率特別高。圖2.5 交通燈的四種狀態(tài)其中:S1:東西方向人行道禁止,南北方向人行道通行;東西方向紅燈,南北方向直行綠燈。S2:東西方向右轉(zhuǎn)綠燈,南北方向右轉(zhuǎn)綠燈,南北方向左轉(zhuǎn)綠燈。S3:南北方向人行道禁止,東西方向人行道通行;南北方向紅燈,東西方向直行綠燈,S4

47、:南北方向右轉(zhuǎn)綠燈,東西方向右轉(zhuǎn)綠燈,東西方向左轉(zhuǎn)綠燈2.2.4 交通燈的工作原理 本系統(tǒng)由AT89S51單片機(jī)控制,由8051單片機(jī)的定時(shí)器每秒鐘通過(guò)P0口向8255A的數(shù)據(jù)口發(fā)送信息,由單片機(jī)的P1口和P2口顯示紅綠燈的點(diǎn)亮情況;由8255A的PC口顯示每個(gè)燈的點(diǎn)亮?xí)r間。P3.3口接有按鈕開(kāi)關(guān),當(dāng)有急行車(chē)需要通過(guò)時(shí),按下此按鈕,產(chǎn)生中斷,系統(tǒng)使東西方向和南北方向所有燈都為紅燈,當(dāng)急行車(chē)通過(guò)后,系統(tǒng)恢復(fù)正常。本系統(tǒng)增加了每次綠燈時(shí)間車(chē)流量檢測(cè)的功能,由此改變下一周期時(shí)的綠燈持續(xù)時(shí)間,采用手動(dòng)開(kāi)關(guān)實(shí)現(xiàn),用單片機(jī)的P3.0-P3.2來(lái)實(shí)現(xiàn)三種情況,具體見(jiàn)車(chē)輛檢測(cè)電路部分。3 系統(tǒng)硬件電路設(shè)計(jì)3.

48、1 單片機(jī)最小系統(tǒng)外圍電路單片機(jī)的最小系統(tǒng)包括電源(地),晶振(一般使用11.0592M或者12M),復(fù)位電路等,有了以上三塊內(nèi)容,單片機(jī)就能夠工作了。AT89S51單片機(jī)是美國(guó)ATMEL公司生產(chǎn)的低功耗,高性能CMOS8為單片機(jī),片內(nèi)含4KB的可編程的FLASH只讀程序存儲(chǔ)器,器件采用ATMEL公司的高密度、非易失性存儲(chǔ)技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn)8051指令系統(tǒng)及引腳。它集Flash程序存儲(chǔ)器 既可在線(xiàn)編程(ISP)也可用傳統(tǒng)方法進(jìn)行編程及通用8位微處理器于單片芯片中,ATMEL公司的功能強(qiáng)大,低價(jià)位AT89S51單片機(jī)可為您提供許多高性?xún)r(jià)比的應(yīng)用場(chǎng)合,可靈活應(yīng)用于各種控制領(lǐng)域8。3.1.1 AT8

49、9S51芯片內(nèi)部結(jié)構(gòu)1.中央處理器中央處理器(CPU)是整個(gè)單片機(jī)的核心部件,是8位數(shù)據(jù)寬度的處理器,能處理8位二進(jìn)制數(shù)據(jù)或代碼,CPU負(fù)責(zé)控制、指揮和調(diào)度整個(gè)單元系統(tǒng)協(xié)調(diào)的工作,完成運(yùn)算和控制輸入輸出功能等操作。2.存儲(chǔ)器單片機(jī)內(nèi)部包含有程序存儲(chǔ)器ROM和數(shù)據(jù)存儲(chǔ)器RAM。數(shù)據(jù)存儲(chǔ)器用于存放變化的數(shù)據(jù)。AT89S51中數(shù)據(jù)存儲(chǔ)器的地址空間為256個(gè)RAM單元,但其中能作為數(shù)據(jù)存儲(chǔ)器供用戶(hù)使用的僅有前面128個(gè),后128個(gè)被專(zhuān)用寄存器占用。程序存儲(chǔ)器用于存放程序和固定不變的常數(shù)等。通常采用只讀存儲(chǔ)器,且其又多種類(lèi)型,在89系列單片機(jī)中全部采用閃存。AT89S51內(nèi)部配置了4KB的程序存儲(chǔ)器。單

50、片機(jī)的結(jié)構(gòu)有兩種類(lèi)型,一種是程序存儲(chǔ)器和數(shù)據(jù)存儲(chǔ)器分開(kāi)的形式,即哈佛(Harvard)結(jié)構(gòu),另一種是采用通用計(jì)算機(jī)廣泛使用的程序存儲(chǔ)器與數(shù)據(jù)存儲(chǔ)器合二為一的結(jié)構(gòu),即普林斯頓(Princeton)結(jié)構(gòu)。INTEL的MCS-51系列單片機(jī)采用的是哈佛結(jié)構(gòu)的形式,而后續(xù)產(chǎn)品16位的MCS-96系列單片機(jī)則采用普林斯頓結(jié)構(gòu)9。3.定時(shí)計(jì)數(shù)器定時(shí)/計(jì)數(shù)器用于實(shí)現(xiàn)定時(shí)和計(jì)數(shù)功能。AT89S51有2個(gè)16位定時(shí)/計(jì)數(shù)器。4.并行輸入輸出(IO)口 8051共有4組8位I/O口(P0、 P1、P2或P3),用于對(duì)外部數(shù)據(jù)的傳輸。每個(gè)口都由1個(gè)鎖存器和一個(gè)驅(qū)動(dòng)器組成。它們主要用于實(shí)現(xiàn)與外部設(shè)備中數(shù)據(jù)的并行輸入與

51、輸出,有些I/O口還有其他功能。5.雙全工串行口 A89S51內(nèi)置一個(gè)全雙工串行通信口,用于與其它設(shè)備間的串行數(shù)據(jù)傳送,該串行口既可以用作異步通信收發(fā)器,也可以當(dāng)同步移位器使用。6.中斷系統(tǒng)中斷系統(tǒng)的作用主要是對(duì)外部或內(nèi)部的終端請(qǐng)求進(jìn)行管理與處理。AT89S51共有5個(gè)中斷源,其中又2個(gè)外部中斷源和3個(gè)內(nèi)部中斷源。7.時(shí)鐘電路8051內(nèi)置最高頻率達(dá)12MHz的時(shí)鐘電路,用于產(chǎn)生整個(gè)單片機(jī)運(yùn)行的脈沖時(shí)序,但8051單片機(jī)需外置振蕩電容10。3.1.2 AT89S51單片機(jī)主要引腳功能AT89S51單片機(jī)采用40Pin封裝的雙列直接DIP結(jié)構(gòu),40個(gè)引腳中,正電源和地線(xiàn)兩根,外置石英振蕩器的時(shí)鐘線(xiàn)

52、兩根,4組8位共32個(gè)I/O口,中斷口線(xiàn)與P3口線(xiàn)復(fù)用。其引腳圖3.1如圖所示。圖3.1 AT89S51單片機(jī)引腳圖各引腳說(shuō)明為:1.輸入輸出引腳P0口:為雙向8位三態(tài)I/O接口。在不接片外存儲(chǔ)器與不擴(kuò)展I/O接口時(shí),可作為準(zhǔn)雙向輸入/輸出口;在接有片外存儲(chǔ)器或擴(kuò)展I/O接口時(shí),P0口地址總線(xiàn)低8位及數(shù)據(jù)總線(xiàn)分時(shí)復(fù)用口,可驅(qū)動(dòng)8個(gè)TTL負(fù)載。一般作為擴(kuò)展時(shí)的地址/數(shù)據(jù)總線(xiàn)口使用。P1口:為8位準(zhǔn)雙向I/O接口,它的每一位都可以分別定義為輸入線(xiàn)或者輸出線(xiàn)(作為輸入時(shí),要對(duì)端口寫(xiě)1,即口鎖存器必需置1),可啟動(dòng)4個(gè)TTL負(fù)載。P2口:為8位準(zhǔn)雙向I/O接口,當(dāng)它作為I/O接口使用時(shí),可直接連接外部

53、I/O設(shè)備;在接有片外存儲(chǔ)器或擴(kuò)展I/O口且尋址范圍超過(guò)256字節(jié)時(shí),P2口用做高8位地址總線(xiàn)。一般作為擴(kuò)展時(shí)地址總線(xiàn)的高8位使用。P3口:為8位準(zhǔn)雙向I/O接口,輸出緩沖級(jí)可以驅(qū)動(dòng)(吸收或輸出電流)4個(gè)TTL邏輯門(mén)電路。對(duì)P3口寫(xiě)入1時(shí),它們被內(nèi)部上拉電阻拉高并可作為輸入口。作輸入端時(shí),被外部拉低的P3 口將用上拉電阻輸出電流。P3口除了作為一般的I/O口線(xiàn)外,更重要的用途是它們的第二功能,而且P3口的每一條引腳均可以獨(dú)立定義為第一功能的輸入/輸出或第二功能。P3口的第二功能定義見(jiàn)表3-1。表3-1 具有第二功能的P3口引腳引腳第二功能P3.0RXD 串行口輸入端P3.1TXD 串行口輸出端

54、P3.2/INT0 外部中斷0請(qǐng)求輸入端,低電平有效P3.3/INT1 外部中斷1請(qǐng)求輸入端,低電平有效P3.4T0 定時(shí)/計(jì)數(shù)器0計(jì)數(shù)脈沖輸入端P3.5T1 定時(shí)/計(jì)數(shù)器1計(jì)數(shù)脈沖輸入端P3.6/WR 外部數(shù)據(jù)存儲(chǔ)器及I/O口寫(xiě)選通信號(hào)輸出端,低電平有效P3.7/RD 外部數(shù)據(jù)存儲(chǔ)器及I/O口讀選通信號(hào)輸出端,低電平有效2控制線(xiàn)ALE/(30引腳):地址鎖存信號(hào)輸出端。當(dāng)訪(fǎng)問(wèn)外部程序器時(shí),ALE(地址鎖存)的輸出用于鎖存地址的低位字節(jié)。而訪(fǎng)問(wèn)內(nèi)部程序存儲(chǔ)器時(shí),ALE端將有一個(gè)1/6時(shí)鐘頻率的正脈沖信號(hào),這個(gè)信號(hào)可以用于識(shí)別單片機(jī)是否工作,也可以當(dāng)作一個(gè)時(shí)鐘向外輸出。更有一個(gè)特點(diǎn),當(dāng)訪(fǎng)問(wèn)外部程

55、序存儲(chǔ)器,ALE會(huì)跳過(guò)一個(gè)脈沖。如果單片機(jī)是EPROM,在編程其間,該引腳將用于輸入編程脈沖。(29引腳):片外程序存儲(chǔ)器讀選通信號(hào)輸出端,低電平有效。當(dāng)訪(fǎng)問(wèn)外部程序存儲(chǔ)器時(shí),此腳輸出負(fù)脈沖選通信號(hào),PC的16位地址數(shù)據(jù)將出現(xiàn)在P0和P2口上,外部程序存儲(chǔ)器則把指令數(shù)據(jù)放到P0口上,由CPU讀入并執(zhí)行。 RESET/Vpd(9引腳):復(fù)位信號(hào)復(fù)用腳。該引腳為單片機(jī)的上電復(fù)位或掉電保護(hù)端。當(dāng)單片機(jī)振蕩器工作時(shí),該引腳上出現(xiàn)持續(xù)兩個(gè)機(jī)器周期的高電平,就可實(shí)現(xiàn)復(fù)位操作,使單片機(jī)回復(fù)到初始狀態(tài)。上電時(shí),考慮到振蕩器有一定的起振時(shí)間,該引腳上高電平必須持續(xù)10ms以上才能保證有效復(fù)位。該引腳若接上備用電源,當(dāng)Vcc發(fā)生故障,降低到低

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論