電子技術(shù)課程設(shè)計(jì)報(bào)告-數(shù)字電子鐘設(shè)計(jì)_第1頁
電子技術(shù)課程設(shè)計(jì)報(bào)告-數(shù)字電子鐘設(shè)計(jì)_第2頁
電子技術(shù)課程設(shè)計(jì)報(bào)告-數(shù)字電子鐘設(shè)計(jì)_第3頁
電子技術(shù)課程設(shè)計(jì)報(bào)告-數(shù)字電子鐘設(shè)計(jì)_第4頁
電子技術(shù)課程設(shè)計(jì)報(bào)告-數(shù)字電子鐘設(shè)計(jì)_第5頁
已閱讀5頁,還剩13頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、湖湖南南商商學(xué)學(xué)院院電電子子技技術(shù)術(shù) 課課程程設(shè)設(shè)計(jì)計(jì)報(bào)報(bào)告告題題目目 數(shù)字?jǐn)?shù)字電電子子時(shí)鐘設(shè)計(jì)時(shí)鐘設(shè)計(jì) 姓姓 名名:學(xué)學(xué) 號(hào)號(hào):專專 業(yè)業(yè):電電子信息工程子信息工程班班 級(jí)級(jí):電電信信 0904指指導(dǎo)導(dǎo)教教師師:職職 稱稱:助理助理實(shí)驗(yàn)師實(shí)驗(yàn)師計(jì)計(jì)算算機(jī)機(jī)與與電電子子工工程程學(xué)學(xué)院院2011 年年 6 月月2 課程設(shè)計(jì)評(píng)審表課程設(shè)計(jì)評(píng)審表學(xué) 號(hào)090910148姓 名學(xué) 院計(jì)算機(jī)與電子工程學(xué)院專業(yè)班級(jí)電信 0904題 目電子鐘設(shè)計(jì)電子鐘設(shè)計(jì)評(píng)審意見評(píng)審成績(jī)指導(dǎo)教師簽名職稱評(píng)審時(shí)間 年 月 日3 課程設(shè)計(jì)作品驗(yàn)收表課程設(shè)計(jì)作品驗(yàn)收表題目電子鐘設(shè)計(jì)姓 名班 級(jí)電信 0904參與人員學(xué) 號(hào)0909

2、10148設(shè)計(jì)任務(wù)與要求:此次設(shè)計(jì)任務(wù)以 proteus 為仿真軟件,由虛擬元器件組成數(shù)字電子鐘,它主要由振蕩器、分秒計(jì)數(shù)器、譯碼器、數(shù)碼管等部分組成。通過 proteus 的仿真,它可以實(shí)現(xiàn)分秒的計(jì)時(shí)功能,最后配以實(shí)物焊接組成一個(gè)這樣的電路板。設(shè)計(jì)要求:準(zhǔn)確計(jì)時(shí),以數(shù)字形式顯示分秒時(shí)的時(shí)間并且分和秒的計(jì)時(shí)要求為 60 進(jìn)位。作品完成情況:作品能完成 24 進(jìn)制和 60 進(jìn)制的計(jì)數(shù),能自動(dòng)對(duì) 24 小時(shí)進(jìn)行準(zhǔn)確計(jì)時(shí),并且通過 led 管進(jìn)行數(shù)碼顯示。驗(yàn)收情況: 驗(yàn)收教師簽名:_ 年 月 日注:1. 除“驗(yàn)收情況”欄外,其余各欄均由學(xué)生在作品驗(yàn)收前填寫。2. “驗(yàn)收情況”欄由驗(yàn)收小組按實(shí)際驗(yàn)收的

3、情況如實(shí)填寫。4 目 錄1 課程設(shè)計(jì)目的.12 設(shè)計(jì)任務(wù)和要求.12.1 設(shè)計(jì)任務(wù).12.2 設(shè)計(jì)要求.22.2.1 設(shè)計(jì)報(bào)告要求.22.2.2 作品要求.22.2.3 設(shè)計(jì)要求.23 數(shù)字鐘電路系統(tǒng)的工作原理.24 電路的總體設(shè)計(jì).24.1 數(shù)字電子鐘邏輯框圖.34.2 振蕩器的設(shè)計(jì).44.3 分秒計(jì)數(shù)器的設(shè)計(jì).54.4 數(shù)碼驅(qū)動(dòng)及顯示電路的設(shè)計(jì).64.5 主體電路圖.75 電路仿真測(cè)試.86 安裝調(diào)試與問題分析.97 心得與體會(huì).10參考資料.11附錄125 數(shù)數(shù)字字電電子子時(shí)時(shí)鐘鐘設(shè)設(shè)計(jì)計(jì)1.1. 課程設(shè)計(jì)目的課程設(shè)計(jì)目的電子技術(shù)課程設(shè)計(jì)是在低頻電子線路、數(shù)字電子技術(shù)課程之后,安排的實(shí)踐

4、性教學(xué)環(huán)節(jié)。它是高等學(xué)校電子信息工程類專業(yè)的學(xué)生必須進(jìn)行的一種綜合性訓(xùn)練。其目的是學(xué)生運(yùn)用所學(xué)的知識(shí),動(dòng)腦又動(dòng)手,在教師指導(dǎo)下,結(jié)合某一專題獨(dú)立地開展電子電路的設(shè)計(jì)與實(shí)驗(yàn), 更好地將理論和實(shí)際課題相結(jié)合,培養(yǎng)學(xué)生的綜合應(yīng)用能力,培養(yǎng)創(chuàng)新意識(shí)和提高學(xué)生的綜合素質(zhì)。提高對(duì)設(shè)計(jì)課題的分析能力、解決實(shí)際問題的綜合能力、動(dòng)手能力。(1)熟悉集成電路的引腳安排。(2)掌握數(shù)字鐘的設(shè)計(jì)方法和和計(jì)數(shù)器相互級(jí)聯(lián)的方法。(3)掌握各芯片的邏輯功能及使用方法。進(jìn)一步掌握數(shù)字系統(tǒng)的設(shè)計(jì)和數(shù)字系統(tǒng)功能的測(cè)試方法。(4) 進(jìn)一步掌握數(shù)字系統(tǒng)的制作和布線方法,了解面包板結(jié)構(gòu)及其接線方法。(5) 熟悉數(shù)字鐘的設(shè)計(jì)與制作。2.

5、2. 設(shè)計(jì)任務(wù)和要求設(shè)計(jì)任務(wù)和要求2.12.1 設(shè)計(jì)任務(wù)設(shè)計(jì)任務(wù)本設(shè)計(jì)以 proteus 為仿真軟件,由虛擬元器件組成數(shù)字電子鐘,它主要由振蕩器、分秒計(jì)數(shù)器、譯碼器、數(shù)碼管等部分組成。通過 proteus 的仿真,它可以實(shí)現(xiàn)分秒的計(jì)時(shí)功能,最后配以實(shí)物焊接組成一個(gè)這樣的電路板。電子技1 術(shù)課程設(shè)計(jì)的任務(wù)是設(shè)計(jì)、組裝并調(diào)試一個(gè)簡(jiǎn)單的電子電路裝置。課程設(shè)計(jì)主要任務(wù)內(nèi)容如下:(1)學(xué)生根據(jù)設(shè)計(jì)任務(wù)查資料、文獻(xiàn),并進(jìn)行研究討論;(2)對(duì)設(shè)計(jì)任務(wù)的指標(biāo)進(jìn)行分析,構(gòu)思設(shè)計(jì)方案; (3)組建功能框圖,完成整機(jī)電路的系統(tǒng)設(shè)計(jì);(4)應(yīng)用 eda 軟件 proteus 畫電路圖并進(jìn)行仿真分析;(5)購買元件,安

6、裝調(diào)試;(6)撰寫設(shè)計(jì)報(bào)告。2.22.2 設(shè)計(jì)要求設(shè)計(jì)要求2.2.12.2.1 設(shè)計(jì)報(bào)告要求設(shè)計(jì)報(bào)告要求(1)課程設(shè)計(jì)報(bào)告應(yīng)符合技術(shù)文檔的規(guī)范要求。其內(nèi)容一般應(yīng)包括設(shè)計(jì)任務(wù)與要求、總體方案設(shè)計(jì)、系統(tǒng)原理框圖及電路圖、主要電路設(shè)計(jì)與計(jì)算、系統(tǒng)功能及指標(biāo)測(cè)試、總結(jié)等內(nèi)容,并附元器件清單;(2)所有電路圖的制作應(yīng)采用 eda 軟件正確繪制,課程設(shè)計(jì)報(bào)告中必須有pretus 仿真結(jié)果;(3)電路圖中的圖形符號(hào)必須符合國(guó)家或國(guó)際標(biāo)準(zhǔn);(4)報(bào)告內(nèi)容完整,格式正確。2.2.22.2.2 作品要求作品要求 作品元器件布局合理,電路整潔,功能和指標(biāo)達(dá)到設(shè)計(jì)任務(wù)要求。2.2.32.2.3 設(shè)計(jì)要求設(shè)計(jì)要求(1)

7、準(zhǔn)確計(jì)時(shí),以數(shù)字形式顯示分秒的時(shí)間。(2)分和秒的計(jì)時(shí)要求為 60 進(jìn)位。2 3.3. 數(shù)字鐘電路系統(tǒng)的工作原理數(shù)字鐘電路系統(tǒng)的工作原理數(shù)字電子鐘是一個(gè)將“ 時(shí)” , “分” , “秒”顯示于人的視覺器官的計(jì)時(shí)裝置。它的計(jì)時(shí)周期為24小時(shí),顯示滿刻度為23時(shí)59分59秒,另外應(yīng)有校時(shí)功能和報(bào)時(shí)功能。因此,一個(gè)基本的數(shù)字鐘電路主要由譯碼顯示器、 “時(shí)” , “分” , “秒”計(jì)數(shù)器、校時(shí)電路、報(bào)時(shí)電路和振蕩器組成。主電路系統(tǒng)由秒信號(hào)發(fā)生器、 “時(shí)、分、秒”計(jì)數(shù)器、譯碼器及顯示器、校時(shí)電路、整點(diǎn)報(bào)時(shí)電路組成。秒信號(hào)產(chǎn)生器是整個(gè)系統(tǒng)的時(shí)基信號(hào),它直接決定計(jì)時(shí)系統(tǒng)的精度,一般用石英晶體振蕩器加分頻器來

8、實(shí)現(xiàn)。將標(biāo)準(zhǔn)秒信號(hào)送入“秒計(jì)數(shù)器” , “秒計(jì)數(shù)器”采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60秒發(fā)出一個(gè)“分脈沖”信號(hào),該信號(hào)將作為“分計(jì)數(shù)器”的時(shí)鐘脈沖。 “分計(jì)數(shù)器”也采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60分鐘,發(fā)出一個(gè)“時(shí)脈沖”信號(hào),該信號(hào)將被送到“時(shí)計(jì)數(shù)器” 。 “時(shí)計(jì)數(shù)器”采用24進(jìn)制計(jì)時(shí)器,可實(shí)現(xiàn)對(duì)一天24小時(shí)的累計(jì)。譯碼顯示電路將“時(shí)” 、 “分” 、 “秒”計(jì)數(shù)器的輸出狀態(tài)用七段顯示譯碼器譯碼,通過七段顯示器顯示出來。整點(diǎn)報(bào)時(shí)電路時(shí)根據(jù)計(jì)時(shí)系統(tǒng)的輸出狀態(tài)產(chǎn)生一脈沖信號(hào),然后去觸發(fā)一音頻發(fā)生器實(shí)現(xiàn)報(bào)時(shí)。校時(shí)電路時(shí)用來對(duì)“時(shí)” 、 “分” 、 “秒”顯示數(shù)字進(jìn)行校對(duì)調(diào)整。數(shù)字電子鐘一般由六個(gè)部分組成,

9、其中振蕩器和分頻器組成標(biāo)準(zhǔn)的秒信號(hào)發(fā)生器,由不同進(jìn)制的計(jì)數(shù)器、譯碼器和顯示器組成計(jì)時(shí)系統(tǒng)。秒信號(hào)送入計(jì)時(shí)器進(jìn)行計(jì)數(shù),把累計(jì)的結(jié)果以“時(shí)” 、 “分” 、 “秒”的十進(jìn)制數(shù)字顯示出來?!皶r(shí)” 顯示由二十四進(jìn)制計(jì)數(shù)器、譯碼器和顯示器構(gòu)成, “分” 、 “秒”顯示分別由六十進(jìn)制計(jì)數(shù)器、譯碼器和顯示器構(gòu)成。數(shù)字電子鐘邏輯框圖如圖所示。它由 555 多諧振蕩器,分頻器,計(jì)數(shù)器,譯碼顯示器和校正電路組成。振蕩器產(chǎn)生穩(wěn)定的高頻脈沖信號(hào)作為數(shù)字時(shí)鐘的時(shí)間基準(zhǔn),輸出標(biāo)準(zhǔn)秒脈沖,秒計(jì)數(shù)器滿流逝后向分計(jì)數(shù)器進(jìn)位,分計(jì)數(shù)器滿六十后向小時(shí)計(jì)數(shù)器進(jìn)位。當(dāng)計(jì)數(shù)時(shí)間達(dá)到 23:59:59 后清零,進(jìn)行循環(huán)計(jì)數(shù)。計(jì)數(shù)器的輸出經(jīng)

10、譯碼器送顯示器。3 4.4. 電路的總體設(shè)計(jì)電路的總體設(shè)計(jì)數(shù)字電子鐘由信號(hào)發(fā)生器、計(jì)數(shù)器、顯示器組成,振蕩器產(chǎn)生的信號(hào)作為秒脈沖,秒脈沖送入計(jì)數(shù)器計(jì)數(shù),計(jì)數(shù)結(jié)果通過分秒譯碼器顯示時(shí)間。4.14.1 數(shù)字電子鐘邏輯框圖數(shù)字電子鐘邏輯框圖邏輯圖如圖 1:圖 1 數(shù)字電子鐘邏輯框圖4.24.2 振蕩器的設(shè)計(jì)振蕩器的設(shè)計(jì)振蕩器是計(jì)時(shí)器的核心,主要用來產(chǎn)生時(shí)間標(biāo)準(zhǔn)信號(hào),也叫基信號(hào)。數(shù)字鐘的精確主要取決于時(shí)間標(biāo)準(zhǔn)信號(hào)的頻率及穩(wěn)定度。振蕩器的頻率越高,計(jì)時(shí)的精度就越高,但耗電量將增大。石英晶體振蕩器的特點(diǎn)是振蕩頻率準(zhǔn)確、電路結(jié)構(gòu)簡(jiǎn)單、頻率調(diào)整。它還具有壓電效應(yīng),在晶體某一方向加一電場(chǎng),則在與此垂4 直的方向

11、產(chǎn)生機(jī)械振動(dòng),有了機(jī)械振動(dòng),就會(huì)在相應(yīng)的垂直面上產(chǎn)生電場(chǎng),從而使機(jī)械振動(dòng)和電場(chǎng)互為因果,這種循環(huán)過程一直持續(xù)到晶體的機(jī)械強(qiáng)度限制時(shí),才達(dá)到最后穩(wěn)定,這種壓電諧的頻率即為晶體振蕩器的固有頻率如果精度要求不高,可采用集成電路 555 定時(shí)器與 rc 組成的多諧振蕩器。振蕩器的穩(wěn)定度及頻率的精確度決定了數(shù)字時(shí)鐘計(jì)時(shí)的準(zhǔn)確程度。一般來說,振蕩器的頻率越高,計(jì)時(shí)精度越高。在電子手表中,常取的頻率為 32768hz。在本次設(shè)計(jì)中,精度要求不是很高,所以選用有集成電路定時(shí)器 555 與 rc 組成的多謝振蕩器,振蕩頻率為 1hz,由多諧振蕩器的工作原理退出的工作公式f=1.44/(r1+2*r2)c)及頻率

12、和周期的關(guān)系公式 t=1/f 可知,通過電阻和電容的值大小選取可以實(shí)現(xiàn)秒鐘功能。圖 2 555 振蕩器4.34.3 分秒計(jì)數(shù)器的設(shè)計(jì)分秒計(jì)數(shù)器的設(shè)計(jì)六十進(jìn)制計(jì)數(shù)器,分別用兩個(gè)顯示燈顯示“分” 或者“秒” ,它是通過六進(jìn)制和十進(jìn)制顯示電路級(jí)聯(lián)起來達(dá)到顯示效果的。需要用到兩個(gè)數(shù)碼管、兩片74ls48 芯片、兩片 74ls160 芯片組成 60 進(jìn)制計(jì)數(shù)器;“分”位的計(jì)時(shí)也為 60進(jìn)制;“時(shí)”位計(jì)時(shí)為 24 進(jìn)制計(jì)數(shù)器,均選用 74ls160 來實(shí)現(xiàn)。實(shí)現(xiàn)的方法用置數(shù)法構(gòu)成。小時(shí)計(jì)數(shù)電路是由兩塊 74ls160 芯片組成的 12 進(jìn)制計(jì)數(shù)電路。當(dāng)“時(shí)”個(gè)位 74ls160 芯片計(jì)數(shù)輸入端 cp5 來

13、到第 10 個(gè)觸發(fā)信號(hào)時(shí),74ls160 芯片計(jì)數(shù)器自動(dòng)清零,進(jìn)位端 qd5 向 74ls160 芯片“時(shí)”十位計(jì)數(shù)器輸出進(jìn)位信號(hào),當(dāng)?shù)?4 個(gè)“時(shí)”(來自“分”計(jì)數(shù)器輸出的進(jìn)位信號(hào))脈沖到達(dá)時(shí),ic5 計(jì)數(shù)器的狀5 態(tài)為“0001” ,74ls160 芯片計(jì)數(shù)器的狀態(tài)為“0001” ,此時(shí)“時(shí)”個(gè)位計(jì)數(shù)器的 qc5 和“時(shí)”十位計(jì)數(shù)器的 qb6 輸出為“1” 。把它們分別送到 74ls160 芯片和 74ls160 芯片計(jì)數(shù)器的清零端 r0(1)和 r0(2),通過 r0(1)和 r0(2)與非后清零,從而完成 24 進(jìn)制計(jì)數(shù)。24 進(jìn)制計(jì)數(shù)器的個(gè)位時(shí)鐘信號(hào)來自秒、分電路兩個(gè)信號(hào)相與的結(jié)果,

14、當(dāng)產(chǎn)生 59 分、59 秒時(shí)兩者相與的結(jié)果為 1,則時(shí)進(jìn)一位,否則不進(jìn)位。 分和秒計(jì)數(shù)器都是 60 的計(jì)數(shù)器,其計(jì)數(shù)規(guī)律為 00-01-58-59-00,選 74ls160 作為六進(jìn)制計(jì)數(shù)器,74ls160 作為個(gè)位計(jì)數(shù)器,再將 它們級(jí)聯(lián)組成模數(shù) m=60 的計(jì)數(shù)器。分計(jì)數(shù)器與秒計(jì)數(shù)器原理相同,如圖三所示:6 圖 3 秒計(jì)數(shù)器電路4.44.4 數(shù)碼驅(qū)動(dòng)及顯示電路數(shù)碼驅(qū)動(dòng)及顯示電路顯示器原理(數(shù)碼管):數(shù)碼管是數(shù)碼顯示器的俗稱。常用的數(shù)碼顯示器有半導(dǎo)體數(shù)碼管,熒光數(shù)碼管,輝光數(shù)碼管和液晶顯示器等。 本設(shè)計(jì)所選用的是半導(dǎo)體數(shù)碼管,是用發(fā)光二極管(簡(jiǎn)稱 led)組成的字7 形來顯示數(shù)字,七個(gè)條形發(fā)光

15、二極管排列成七段組合字形,便構(gòu)成了半導(dǎo)體數(shù)碼管。半導(dǎo)體數(shù)碼管有共陽極和共陰極兩種類型。共陽極數(shù)碼管的七個(gè)發(fā)光二極管的陽極接在一起,而七個(gè)陰極則是獨(dú)立的。共陰極數(shù)碼管與共陽極數(shù)碼管相反,七個(gè)發(fā)光二極管的陰極接在一起,而陽極是獨(dú)立的。 當(dāng)共陽極數(shù)碼管的某一陰極接低電平時(shí),相應(yīng)的二極管發(fā)光,可根據(jù)字形使某幾段二極管發(fā)光,所以共陽極數(shù)碼管需要輸出低電平有效的譯碼器去驅(qū)動(dòng)。共陰極數(shù)碼管則需輸出高電平有效的譯碼器去驅(qū)動(dòng)。 譯碼器原理(74ls48):譯碼為編碼的逆過程。它將編碼時(shí)賦予代碼的含義“翻譯”過來。實(shí)現(xiàn)譯碼的邏輯電路成為譯碼器。譯碼器輸出與輸入代碼有唯一的對(duì)應(yīng)關(guān)系。74ls48 輸出高平有效的七段

16、字形譯碼器,它在這里與數(shù)碼管配合使用,表 2 列出了 74ls48 真值表,表示出了它與數(shù)碼管之間的關(guān)系。 譯碼器與顯示器的配套使用:譯碼是把給定的代碼進(jìn)行翻譯,本設(shè)計(jì)即是將時(shí)、分、秒計(jì)數(shù)器輸出的四位二進(jìn)制數(shù)代碼翻譯為相應(yīng)的十進(jìn)制數(shù),并通過顯示器顯示,通常顯示器與譯碼器是配套使用的。我們選用的七段譯碼驅(qū)動(dòng)器(74ls48 和數(shù)碼管(led)是共陰極(需要輸出低電平有效的譯碼器驅(qū)動(dòng)) 。4.54.5 主體電路圖主體電路圖主體電路是由功能部件或單元電路組成的。在設(shè)計(jì)這些電路或選擇部件時(shí),盡量選用同類型的器件,如所有功能部件都采用 ttl 集成電路或都采用 cmos 集成電路。首先構(gòu)成一個(gè) 555

17、定時(shí)器產(chǎn)生震蕩周期為一秒的標(biāo)準(zhǔn)秒脈沖,由8 74ls90 采用清零法分別組成六十進(jìn)制的秒記數(shù)器、六十進(jìn)制分記數(shù)器、由74ls191 構(gòu)成十二進(jìn)制時(shí)記數(shù)器。使用 74ls48 為驅(qū)動(dòng)器,數(shù)碼管作為顯示器。根據(jù)設(shè)計(jì)的主體思想和各分電路,按照流向分級(jí)安裝,逐級(jí)級(jí)聯(lián),每一級(jí)指組成數(shù)字鐘的各功能電路.聯(lián)出總體邏輯電路圖,如圖四所示:圖 4 總體設(shè)計(jì)原理圖5 5 電路仿真測(cè)試電路仿真測(cè)試連接好電路后進(jìn)行仿真,基本功能測(cè)試。當(dāng)秒計(jì)數(shù)器計(jì)到 59 時(shí),下一個(gè)脈沖到來時(shí),分的個(gè)位加一。當(dāng)時(shí)鐘運(yùn)行到 59 分 59 秒的時(shí)候,秒的個(gè)位計(jì)數(shù)器9 再輸入一個(gè)秒脈沖時(shí),數(shù)字鐘自動(dòng)進(jìn)入下一個(gè)循環(huán),如圖五所示:圖 5 基本

18、功能仿真測(cè)試6 6 安裝調(diào)試與問題分析安裝調(diào)試與問題分析這次電子鐘的安裝,我總共進(jìn)行了兩次,雖然過程中出現(xiàn)了各種各樣的問題,但最終都解決了,實(shí)現(xiàn)了其基本功能。10 第一次安裝時(shí),與另外兩個(gè)同學(xué)一起分工合作,同時(shí)進(jìn)行了三個(gè)產(chǎn)品的安裝,其中有兩個(gè)有顯示,但都為亂碼,最后一個(gè)卻沒有顯示,經(jīng)過線路檢查后發(fā)現(xiàn)連線并沒有錯(cuò)誤,在修補(bǔ)了幾條虛焊的電導(dǎo)線后,有顯示的兩個(gè)電子鐘出現(xiàn)了一模一樣的亂碼,雖然顯示是亂碼,但進(jìn)行單個(gè) bcd 管的檢測(cè)時(shí)卻仍計(jì)了10 次數(shù),而且“1” 、 “7”為正常顯示,通過對(duì)共陰極七段顯示管引腳的核對(duì),發(fā)現(xiàn)最后的問題是將“f” 、 “g”的管腳連反了,自然在不需 f、g 顯示的 1、

19、7就會(huì)正常顯示,而其他數(shù)字便因 f、g 無法正常顯示而成為亂碼。至于第三個(gè)電子鐘,在檢查后發(fā)現(xiàn),由于接地線使用了直接抽離出的銅絲,沒有絕緣橡膠的絕緣作用,導(dǎo)致其與信號(hào)源的輸入電路短接,從而沒有顯示。由于萬能板在檢查過程中有損壞,且電子鐘的實(shí)際接線線路十分復(fù)雜,不易更改,于是便用新的板子重新做過。這次有了前次的經(jīng)驗(yàn)教訓(xùn),于是開始先做一個(gè)完整的 bcd 電路,檢測(cè)其能正常工作之后再連接下一級(jí)電路。全部焊接好了之后,安裝上芯片進(jìn)行檢測(cè),發(fā)現(xiàn)計(jì)數(shù)不對(duì),分計(jì)時(shí)器的顯示為 00;但時(shí)計(jì)時(shí)器卻可以正常計(jì)數(shù),初步估計(jì)為 74ls160 的芯片是壞的,于是將 160 的芯片取出,然后將取下的芯片安裝到試驗(yàn)箱上,

20、接通電源,通過控制高低電平輸入來驗(yàn)證此塊芯片的真值表,從而確定其好壞。經(jīng)驗(yàn)證,兩塊 74ls160 確實(shí)被燒壞了,無法正常工作,通過調(diào)換新的芯片,安裝好的電子鐘終于能夠正常的工作計(jì)時(shí)了。7 7 心得與體會(huì)心得與體會(huì)我們學(xué)習(xí)了數(shù)字電子電路和模擬電子電路即初步掌握了電子電路技術(shù),對(duì)電子技術(shù)原理及其應(yīng)用和日常生活電子產(chǎn)品工作性能有了一些初步了解,但那都是理論的東西,沒有實(shí)踐,通過這次數(shù)字電子鐘的課程設(shè)計(jì),我才把學(xué)到的東西與實(shí)踐相結(jié)合。在這次數(shù)字鐘設(shè)計(jì)過程中,進(jìn)一步地熟悉了芯片的結(jié)構(gòu)及不同芯片的工作原理和使用方法,也提高了自己獨(dú)立思考問題的能力和查閱資料的能力。雖然這只是一次簡(jiǎn)單的課程設(shè)計(jì),但這次課程設(shè)計(jì)使我們?cè)谝幌凳煜ち私饬苏n程設(shè)計(jì)的一般步驟和設(shè)計(jì)中出現(xiàn)問題時(shí)怎么解決的發(fā)放。設(shè)計(jì)本身并不是最重要的,11 而是對(duì)待問題時(shí)的態(tài)度和處理事情的能力。在產(chǎn)品成功的時(shí)候很有成就感,而這成就感不僅僅是個(gè)人完成了任務(wù),更多的是在這次實(shí)踐中我們學(xué)會(huì)的東西。在此次的數(shù)字鐘設(shè)計(jì)過程

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論