課程設(shè)計(jì)--智能小車循跡控制系統(tǒng)_第1頁
課程設(shè)計(jì)--智能小車循跡控制系統(tǒng)_第2頁
課程設(shè)計(jì)--智能小車循跡控制系統(tǒng)_第3頁
課程設(shè)計(jì)--智能小車循跡控制系統(tǒng)_第4頁
課程設(shè)計(jì)--智能小車循跡控制系統(tǒng)_第5頁
已閱讀5頁,還剩13頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 *大學(xué)能源與動(dòng)力工程學(xué)院本科生課程設(shè)計(jì)題 目: 智能小車循跡控制系統(tǒng) 課 程: 電子技術(shù) 專 業(yè): 測(cè)控技術(shù)與儀器 班 級(jí): 測(cè)控1101 學(xué) 號(hào): * 姓 名: * 指導(dǎo)教師: * 完成日期: 2013年11月26 日 目 錄1、任務(wù)及要求32、整體方案及特點(diǎn).43、各組成部分的電路結(jié)構(gòu)及工作原理.44、系統(tǒng)硬件電路設(shè)計(jì)(各模塊的硬件連接關(guān)系).95、CPLD控制模塊內(nèi)各單元模塊的設(shè)計(jì).106、CPLD控制模塊的頂層電路圖.137、系統(tǒng)總裝配圖.148、實(shí)驗(yàn)結(jié)果分析.149、調(diào)試中出現(xiàn)問題的解決.1510、改進(jìn)意見及收獲體會(huì).1511、器件與器材.1612、使用儀器設(shè)備.1713、參考文獻(xiàn)

2、.17一、任務(wù)及要求 1、課程任務(wù)及其功能 設(shè)計(jì)智能小車循跡控制系統(tǒng) (1)小車可完成前進(jìn)、轉(zhuǎn)向等行駛方式;(2)小車在底盤安裝四組紅外傳感器;(3)系統(tǒng)根據(jù)紅外傳感器提供的信息測(cè)算小車與地面深色路徑的偏離程度;(4)當(dāng)小車偏離地面深色路徑時(shí)自動(dòng)以轉(zhuǎn)小彎、轉(zhuǎn)大彎的運(yùn)動(dòng)方式調(diào)整小車的行進(jìn)軌跡,完成自動(dòng)循跡的運(yùn)動(dòng)方式。 2、設(shè)計(jì)要求 (1)要求用可編程邏輯器件(FPGA/CPLD)設(shè)計(jì)實(shí)現(xiàn); (2)在實(shí)驗(yàn)箱上或印刷電路板上安裝、調(diào)試出所設(shè)計(jì)的電路; (3)在EDA編程實(shí)驗(yàn)系統(tǒng)上完成硬件系統(tǒng)的功能仿真; (4)寫出設(shè)計(jì)、調(diào)試、總結(jié)報(bào)告。 3、課程設(shè)計(jì)的時(shí)間安排 1)方案設(shè)計(jì);(1.5天)根據(jù)設(shè)計(jì)任務(wù)

3、書給定的技術(shù)指導(dǎo)和條件,進(jìn)行調(diào)查研究、查閱參考文獻(xiàn),進(jìn)行反復(fù)比較和可行性論證,確定出方案電路,畫出主要單元電路,數(shù)據(jù)通道,輸入、輸出及重要控制信號(hào)概貌的框圖。 2) 電路設(shè)計(jì):(2天)根據(jù)方案設(shè)計(jì)框圖,并畫出各單元電路的詳細(xì)電路圖及總體電路圖。 3) 電路仿真:(2.5天)熟悉EDA工具,在EDA軟件平臺(tái)上修改設(shè)計(jì)的電路,給出正確的仿真結(jié)果。 4) 裝配圖設(shè)計(jì):(1天)根據(jù)給定的元器件,結(jié)合邏輯電路圖,設(shè)計(jì)出電路制作的具體裝配圖(即繪出組件數(shù)量,管腳號(hào)以及器件布置的實(shí)際位置)。同時(shí)配以必要的文字說明。 5)電路制作:(2天)對(duì)選定的設(shè)計(jì),按裝配圖進(jìn)行裝配,調(diào)試實(shí)驗(yàn)。 6) 總結(jié)鑒定:(1天)考

4、核樣機(jī)是否全面達(dá)到現(xiàn)定的技術(shù)指標(biāo),能否長期可靠地工作,并寫出設(shè)計(jì)總結(jié)報(bào)告。2、 整體方案及特點(diǎn)1、智能小車循跡總體方案電源模塊驅(qū)動(dòng)模塊CPLD控制模塊左右尋跡信號(hào)直流電機(jī)紅外尋跡模塊2、設(shè)計(jì)的思路及其特點(diǎn) 在智能尋跡小車控制系統(tǒng)的設(shè)計(jì)中,以CPLD為核心,用L298N驅(qū)動(dòng)兩個(gè)減速電機(jī),當(dāng)產(chǎn)生信號(hào)驅(qū)動(dòng)小車前進(jìn)時(shí),是通過尋跡模塊里的紅外對(duì)管是否尋到黑線產(chǎn)生的電平信號(hào)通過電壓比較器LM339返回到CPLD,然后CPLD根據(jù)程序設(shè)計(jì)的要求做出相應(yīng)的判斷送給電機(jī)驅(qū)動(dòng)模塊,讓小車來實(shí)現(xiàn)前進(jìn)、左轉(zhuǎn)、右轉(zhuǎn)、停車等基本功能。三、各組成部分的電路結(jié)構(gòu)及工作原理1、紅外尋跡模塊的設(shè)計(jì)(1)電路結(jié)構(gòu) 發(fā)射部分 接收部

5、分(2)工作原理該系統(tǒng)中的尋線模塊我們采用的是紅外傳感器。它有一個(gè)發(fā)射管(白色)和一個(gè)接收管(黑色),一般情況下接收管能收到發(fā)射管發(fā)送的紅外光,但當(dāng)遇到吸光介質(zhì)(如黑色物體)時(shí)接收管便不能收到發(fā)射管的紅外光。因?yàn)閭鞲衅鬏敵龆说玫降氖悄M電壓信號(hào),所以在輸出端增加了電壓比較器LM339,先將輸出電壓與2.5V進(jìn)行比較(檢測(cè)到黑線時(shí)輸出低電平,發(fā)光二極管不亮;檢測(cè)到白線時(shí)輸出高電平,發(fā)光二級(jí)管亮),再送給CPLD處理和控制。本設(shè)計(jì)中,為使小車尋線穩(wěn)定,最好選擇差異較大的環(huán)境,例如在白地板上貼上黑線,可使紅外尋線模塊工作更加靈敏穩(wěn)定。 2、電源模塊的設(shè)計(jì)利用L298穩(wěn)壓管輸出一路電壓,供給單片機(jī)和電

6、機(jī)驅(qū)動(dòng),還供給尋跡模塊。L298N是SGS公司的產(chǎn)品,內(nèi)部包含4通道邏輯驅(qū)動(dòng)電路。是一種二相和四相電機(jī)的專用驅(qū)動(dòng)器,即內(nèi)含二個(gè)H橋的高電壓大電流雙全橋式驅(qū)動(dòng)器,接收標(biāo)準(zhǔn)TTL邏輯電平信號(hào),可驅(qū)動(dòng)46V、2A以下的電機(jī)。3、CPLD控制模塊設(shè)計(jì) 此部分是整個(gè)小車運(yùn)行的核心部件,起著控制小車所有的運(yùn)行狀態(tài)作用。采用可編程邏輯期間CPLD作為控制器。CPLD可以實(shí)現(xiàn)各種復(fù)雜的邏輯功能、規(guī)模大、密度高、體積小、穩(wěn)定性高、IO資源豐富、易于進(jìn)行功能擴(kuò)展。采用并行的輸入輸出方式,提高了系統(tǒng)的處理速度,適合作為大規(guī)模控制系統(tǒng)的控制核心1) 正常前進(jìn) 當(dāng)尋跡板中間兩個(gè)傳感器檢測(cè)到白線,小車都正常前進(jìn)。2)左小

7、拐彎 當(dāng)只有左端第2個(gè)傳感器檢測(cè)到黑線,其余3個(gè)傳感器檢測(cè)到白色時(shí),小車應(yīng)左小拐彎。 3)左大拐彎 當(dāng)只要左端第1個(gè)傳感器檢測(cè)到黑線,右端2個(gè)傳感器檢測(cè)到白色時(shí),小車應(yīng)左大拐彎。 4) 右小拐彎 當(dāng)只有右端第2個(gè)傳感器檢測(cè)到黑線,其余3個(gè)傳感器檢測(cè)到白色時(shí),小車應(yīng)右小拐彎。 5) 右大拐彎 當(dāng)只要右端第1個(gè)傳感器檢測(cè)到黑線,左端2個(gè)傳感器檢測(cè)到白色時(shí),小車應(yīng)右大拐彎。 6)停車 當(dāng)4個(gè)傳感器同時(shí)檢測(cè)到黑線或其他情況,小車停車。4、驅(qū)動(dòng)模塊設(shè)計(jì)(1)電路圖 (2) 工作原理 從CPLD輸出信號(hào)功率很弱,即使在沒有其它外在負(fù)載是也無法帶動(dòng)電機(jī),所以在實(shí)際電路中我們加入了電機(jī)驅(qū)動(dòng)芯片提高輸入電機(jī)信號(hào)

8、的功率,從而能夠根據(jù)需要控制電機(jī)轉(zhuǎn)動(dòng)。根據(jù)驅(qū)動(dòng)功率大小以及連接電路的簡(jiǎn)單化要求選擇L298N為直流電機(jī)驅(qū)動(dòng)芯片。L298N是SGS公司的產(chǎn)品,內(nèi)部包含4通道邏輯驅(qū)動(dòng)電路,是一種二相和四相電機(jī)的專用驅(qū)動(dòng)器,即內(nèi)含二個(gè)H橋的高電壓大電流雙全橋式驅(qū)動(dòng)器,接收標(biāo)準(zhǔn)TTL邏輯電平信號(hào),可驅(qū)動(dòng)46V、2A以下的電機(jī)。其引腳排列如下圖所示,1腳和15腳可單獨(dú)引出連接電流采樣電阻器,形成電流傳感信號(hào)。L298N可驅(qū)動(dòng)2個(gè)電機(jī),OUTl、OUT2和OUT3、OUT4之間分別接2個(gè)電動(dòng)機(jī)。(5、10)、(7、12)腳接輸入控制電平,控制電機(jī)的正反轉(zhuǎn),ENA,ENB接控制使能端,控制電機(jī)的停轉(zhuǎn)。L298N的邏輯功能

9、如表1所示。 表1 L298N邏輯功能表ENA(B)IN1(IN3)IN2(IN4)電機(jī)運(yùn)行狀況HHL正轉(zhuǎn)HLH反轉(zhuǎn)H同IN2(IN4)同IN1(IN3)快速停止LXX停止其引腳圖如圖1所示:引腳介紹:第1、15腳:可單獨(dú)引出連接電流采樣電阻器,形成電流傳感信號(hào),也可直接接地。第2、3腳:A電機(jī)輸出端口。第4腳:接邏輯控制的+5V電源。第6腳:A橋使能端口。第5、7腳:輸入標(biāo)準(zhǔn)TTL電點(diǎn)平對(duì)A橋的輸出OUT1、OUT2進(jìn)行控制。第8腳:接電源地。第9腳:接電機(jī)驅(qū)動(dòng)電源,最高可達(dá)50V。第11腳:B橋使能端口。第10、12腳:輸入標(biāo)準(zhǔn)TTL電平對(duì)B橋的輸出OUT3、OUT4進(jìn)行控制。第13、14

10、腳:B電機(jī)輸出端口。5、直流電機(jī)(1)實(shí)物圖 (2)原理 因?yàn)橐粋€(gè)驅(qū)動(dòng)芯片L298N可驅(qū)動(dòng)兩個(gè)直流電機(jī),可我們這次購買的小車有四個(gè)直流電機(jī),所以我們采用兩兩并聯(lián)的方法,即左側(cè)兩個(gè)并聯(lián),右側(cè)兩個(gè)并聯(lián)。這樣就可以使每一側(cè)的兩個(gè)電機(jī)步調(diào)一致起來,便于控制。4、 系統(tǒng)硬件電路設(shè)計(jì)(各模塊的硬件連接關(guān)系)電源模塊驅(qū)動(dòng)模塊CPLD控制模塊左右尋跡信號(hào)直流電機(jī)紅外尋跡模塊5、 CPLD控制模塊內(nèi)各單元模塊的設(shè)計(jì)1、PWM(脈沖寬度調(diào)制)(1) VHDL程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE

11、IEEE.STD_LOGIC_ARITH.ALL;ENTITY PWMA ISPORT ( CLK :IN STD_LOGIC; C:IN STD_LOGIC_VECTOR(3 DOWNTO 0); PWM:OUT STD_LOGIC);END PWMA;ARCHITECTURE example OF PWMA ISSIGNAL COUNT:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(CLK) BEGIN IF CLKEVENT AND CLK=1 THEN IF COUNT=1001 THEN COUNT=0000; ELSE COUNT=COUNT+

12、1; END IF; IF COUNTC THEN PWM=1; ELSE PWM=0; END IF; END IF; END PROCESS; END example;(2)原理圖 (3)仿真波形2、主要控制模塊(1) 原理和功能 根據(jù)小車前面的四個(gè)紅外傳感器所檢測(cè)到的信號(hào)控制兩端電機(jī)的轉(zhuǎn)速。1) 當(dāng)小車需要正常前進(jìn)時(shí),左側(cè)兩個(gè)電機(jī)的轉(zhuǎn)速應(yīng)等于右側(cè)兩個(gè)電機(jī)的轉(zhuǎn)速。2)當(dāng)小車需要左小拐時(shí),左側(cè)兩個(gè)電機(jī)的轉(zhuǎn)速應(yīng)略小于右側(cè)兩個(gè)電機(jī)的轉(zhuǎn)速。3)當(dāng)小車需要左大拐時(shí),左側(cè)兩個(gè)電機(jī)的轉(zhuǎn)速應(yīng)比右側(cè)兩個(gè)電機(jī)的轉(zhuǎn)速小得多。4)當(dāng)小車需要右小拐時(shí),左側(cè)兩個(gè)電機(jī)的轉(zhuǎn)速應(yīng)略大于右側(cè)兩個(gè)電機(jī)的轉(zhuǎn)速。5)當(dāng)小車需要右大

13、拐時(shí),左側(cè)兩個(gè)電機(jī)的轉(zhuǎn)速應(yīng)比右側(cè)兩個(gè)電機(jī)的轉(zhuǎn)速大得多。6)當(dāng)小車需要停止時(shí),左側(cè)兩個(gè)電機(jī)的轉(zhuǎn)速和右側(cè)兩個(gè)電機(jī)的轉(zhuǎn)速應(yīng)都為零。(2) VHDL程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY control ISPORT(p1,p2,p3,p4:IN STD_LOGIC; A,B: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END control;ARCHITECTURE behave OF contr

14、ol ISBEGINPROCESS(p1,p2,p3,p4) VARIABLE TEMP: STD_LOGIC_VECTOR(3 DOWNTO 0):=0000; BEGIN TEMP:=p1&p2&p3&p4; IF (TEMP=0000)THEN A=1000; B=1000; ELSIF(TEMP=0010)THEN A=1000; B=0011; ELSIF(TEMP=(0001)OR TEMP=(0011)THEN A=1000; B=0001; ELSIF(TEMP=0100)THEN A=0011; B=1000; ELSIF(TEMP=(1000)OR TEMP=(1100)T

15、HEN A=0001; B=1010; ELSE A=0000; B=0000; END IF; END PROCESS; END behave;(3) 原理圖(4) 仿真波形6、 CPLD控制模塊的頂層電路圖1、原理圖2、仿真波形7、 系統(tǒng)總裝配圖8、 實(shí)驗(yàn)結(jié)果分析 一開始小車總是左大拐彎拐不過去,經(jīng)過分析,一是程序中小車的速度調(diào)的太快,二是左右輪在左大拐彎時(shí)左右輪的速度差太小。最后將小車左右輪的左右輪速度差調(diào)大,之后小車順利沿著黑線走了,也不會(huì)壓著黑線走。9、 調(diào)試中出現(xiàn)問題的解決 在調(diào)PWM程序時(shí),由于不熟悉VHDL語言,總是在語法上出錯(cuò),少了一兩個(gè)字母什么的,最后熟悉了就好。在快要成功

16、時(shí),我們組的小車在左大轉(zhuǎn)彎的地方總會(huì)沖出跑道,因此要不斷的修改小車左右輪速度的大小。比較麻煩的就是取下CPLD集成塊,每次都要拿起拔器一遍遍取下,不小心也會(huì)把邊上的管腳弄壞。嘗試了好多次,最后將左大拐彎時(shí)左右輪的速度差調(diào)成1和11才成功,并且拐的很好。10、 改進(jìn)意見及收獲體會(huì) 1、改進(jìn)意見 (1)由于時(shí)間和經(jīng)歷的限制,小車最終只實(shí)現(xiàn)了地面黑白循跡,按照預(yù)定地圖給定的軌跡行進(jìn),沒有加入路程記錄,聲控,壁障等功能。 (2) 沒有考慮干擾問題,現(xiàn)在的小車只是在圓弧型的軌道上跑,換到別的跑道估計(jì)有些困難,比如全是直角的循跡跑道上,又是直角又是圓弧,或者是拐的角度較大。 2、收獲體會(huì)經(jīng)過幾個(gè)禮拜的忙碌

17、,在大家共同的努力下,終于給智能循跡小車畫上了句號(hào)。雖說不上是完美,但總算成功了。剛開始接觸這個(gè)項(xiàng)目,我們組兩個(gè)人對(duì)其一點(diǎn)也不了解,無從下手。后來從一個(gè)個(gè)模塊慢慢開始,先了解其原理,也算知道個(gè)大概。在知道做什么之后,開始一步步跟著大家的步伐做設(shè)計(jì)。理論知識(shí)往往都是在一些理想狀態(tài)下的假設(shè)論,而實(shí)際的動(dòng)手操作則完全不同,需要考慮實(shí)際中的很多問題。在課程設(shè)計(jì)實(shí)習(xí)中通過對(duì)電路的連接懂得了如何通過設(shè)計(jì)的分析對(duì)所連電路的整體布局,如何更好的放置芯片在最合適的位置。在導(dǎo)線的連接上,如何選擇導(dǎo)線走向是關(guān)鍵。我們組根據(jù)所需線長進(jìn)行剪線焊接。我們?cè)趯?shí)驗(yàn)中遇到一些自己無法弄明白的問題,多次請(qǐng)教同學(xué)。在此也謝謝班里的

18、女生,教會(huì)了我好多。在設(shè)計(jì)時(shí)和同學(xué)相互交流各自的想法的確很重要,不同的人對(duì)問題的看法總有差異,我們可以從交流中獲得不同的想法,其他人的設(shè)計(jì)一定有值得你借鑒的地方。比如遇到左大拐彎拐不過去的問題,經(jīng)過和與同學(xué)的交流與自己多次嘗試,原來在程序上調(diào)節(jié)左右輪速度有問題,速度差不夠明顯,車子也就很難轉(zhuǎn)過來。還有些接線問題,自己一個(gè)人悶在那檢查會(huì)蒙在那,一個(gè)簡(jiǎn)單的錯(cuò)誤都沒看出來,這次的CLK信號(hào)接錯(cuò)管腳了,查了幾遍沒查出來,還是女生幫忙看出來的。經(jīng)過多次的嘗試和改進(jìn),也不負(fù)多天的努力,結(jié)果挺好的。當(dāng)然,也得感謝老師學(xué)校給了我們這次機(jī)會(huì)做設(shè)計(jì),確實(shí)蠻有趣的,而且還學(xué)到了好些東西,感覺自己動(dòng)手能力還不錯(cuò)。希望鄭老師多帶我們做這種課程設(shè)計(jì)。十二 、器件與器材1、CPLD EPM7128SLC84-62、FPGA EPF10K103、只讀存儲(chǔ)器 EPROM 2732A4、穩(wěn)壓降壓模塊5、小車6、L298驅(qū)動(dòng)板7、紅外傳感器8、測(cè)速碼盤9、施密特觸發(fā)器 74LS1410、A/D轉(zhuǎn)換器 ADC0804、ADC080911、D/A轉(zhuǎn)換器 DAC083212、振蕩分頻器 CD406013、石英晶

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論