電子工藝實(shí)習(xí)指導(dǎo)書(shū)_第1頁(yè)
電子工藝實(shí)習(xí)指導(dǎo)書(shū)_第2頁(yè)
電子工藝實(shí)習(xí)指導(dǎo)書(shū)_第3頁(yè)
電子工藝實(shí)習(xí)指導(dǎo)書(shū)_第4頁(yè)
電子工藝實(shí)習(xí)指導(dǎo)書(shū)_第5頁(yè)
已閱讀5頁(yè),還剩15頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、電子工藝實(shí)習(xí)指導(dǎo)書(shū)高念富 牟淑杰 車煥 石曉磊 荊珂編寫(xiě)二一五年十二月機(jī) 電 工 程 系 目 錄1 數(shù)字電壓表設(shè)計(jì)與制作11.1設(shè)計(jì)目的及任務(wù)11.2設(shè)計(jì)器件說(shuō)明11.3 3位數(shù)字電壓表工作原理51.4 3位數(shù)字電壓表的制作61.5 調(diào)試71.6 數(shù)字電壓表性能的改進(jìn)91.7系統(tǒng)電路仿真132設(shè)計(jì)、制作電子計(jì)分器172.1設(shè)計(jì)目的及任務(wù)172.2設(shè)計(jì)使用器件173 電子工藝實(shí)習(xí)安排18參考文獻(xiàn)18- 17 -1 數(shù)字電壓表設(shè)計(jì)與制作1.1設(shè)計(jì)目的及任務(wù)1.了解數(shù)字電壓表的測(cè)量原理;2.掌握應(yīng)用電路組成原理、電路參數(shù)計(jì)算方法;3.掌握兩項(xiàng)基本技能:利用Proteus軟件進(jìn)行電路仿真;利用Prot

2、eus軟件或Protel軟件繪制PCB電路版圖;練習(xí)焊接及調(diào)試技能。4.訓(xùn)練和提高對(duì)電子線路的調(diào)試以及查排故障的能力。1.2設(shè)計(jì)器件說(shuō)明數(shù)字電壓表是對(duì)模擬電壓值進(jìn)行測(cè)量并通過(guò)數(shù)字形式顯示實(shí)際電壓值的一種數(shù)字儀表?;驹硎鞘紫葘?duì)模擬電壓值進(jìn)行A/D轉(zhuǎn)換,得到數(shù)字量,然后驅(qū)動(dòng)LED數(shù)碼管,實(shí)現(xiàn)數(shù)據(jù)的數(shù)字顯示輸出。1.2.1 MC14433MC14433是MOTOROLA公司生產(chǎn)的雙積分型單片CMOS 3位A/D轉(zhuǎn)換器。采用24腳雙列直插式封裝(DIP),具有自動(dòng)調(diào)零,自動(dòng)極性轉(zhuǎn)換,輸入阻抗高,外圍元件少以及調(diào)試簡(jiǎn)單等優(yōu)點(diǎn)??捎糜跀?shù)字面板表、數(shù)字三用表、數(shù)字溫度計(jì)、數(shù)字秤及各種低速數(shù)據(jù)采集系統(tǒng)。

3、MC14433的主要參數(shù)是: 轉(zhuǎn)換精度:讀數(shù)的0.05% 1 輸入阻抗:1000M 基本電壓量程:1.999V和199.9mV靜態(tài)功耗:8mW轉(zhuǎn)換速率:310次/秒輸出形式:經(jīng)過(guò)多路調(diào)制的BCD碼,并有多路調(diào)制選通脈沖輸出工作電壓范圍:4.58V MC14433具有內(nèi)部時(shí)鐘發(fā)生器,使用時(shí)只需外接一只電阻,(典型值=470K),時(shí)鐘頻率隨的增加而下降。也可使用外部時(shí)鐘,頻率范圍是50200KHz。MC14433工作時(shí),需要外接一正電壓基準(zhǔn),基準(zhǔn)電壓值與量程有關(guān),當(dāng)量程為1.999V時(shí),基準(zhǔn)電壓為2V;當(dāng)量程為199.9mV時(shí),基準(zhǔn)電壓為200mV。MC14433的應(yīng)用見(jiàn)數(shù)字電壓表原理附圖。MC1

4、4433 的引腳排列如圖1-1所示。 圖1-1 MC14433 的引腳排列如圖 圖1-2 CD4511的引腳排列如圖表1-1 引腳功能說(shuō)明管 腳功 能功 能 描 述1VAG模擬地;2VREF基準(zhǔn)電壓輸入端;3VX被測(cè)電壓輸入端;4、5、6R1、R1/C1、C1外接積分元件量程為2V時(shí):C1 =0.1F,R1 =470K量程200mV時(shí):C1 =0.1F,R1 =27K7、8CO1、CO2外接失調(diào)補(bǔ)償電容,典型值為0.1F;9DU更新轉(zhuǎn)換結(jié)果輸出的控制端;10、11CLKI、CLKO分別為時(shí)鐘信號(hào)輸入、輸出端14EOC轉(zhuǎn)換周期結(jié)束標(biāo)志輸出15/OR溢出標(biāo)志輸出1619DS4DS1多路調(diào)制選通脈沖

5、信號(hào)輸出;DS4、DS3、DS2、DS1:分別為個(gè)、十、百、千位;2023Q0Q3A/D轉(zhuǎn)換結(jié)果的BCD碼輸出,Q0為L(zhǎng)SB24VDD正電源12VEE模擬部分的負(fù)電源13VSS公共接地端 1.2.2 CD4511CD4511 是BCD-7段鎖存、譯碼、驅(qū)動(dòng)器,輸入是BCD碼,輸出是送給數(shù)碼管作顯示用的a、b、c、d、e、f、g的7位段碼。CD4511的引腳如表1-2所示。 表1-2 CD4511引腳功能管 腳功 能功能描述7、1、2、6A、B、C、D4位BCD碼輸入端139、15、14a、b、c、d、e、f、g7位段碼輸出端3/LT測(cè)試端,/LT=0時(shí),各段全亮4/BI滅燈控制端,/BI=0時(shí)

6、,各段全滅5LE鎖存控制端。LE=1時(shí),鎖存輸入數(shù)據(jù) LE=0時(shí),譯碼輸出數(shù)據(jù)1.2.3 ULN2003 ULN2003的工作原理較簡(jiǎn)單,是一個(gè)具有7路輸出的集電極開(kāi)路反向驅(qū)動(dòng)器,ULN2003的引腳排列如圖1-3所示。引腳功能說(shuō)明如下: D0D6:7個(gè)輸入端;O0O6:7個(gè)輸出端;GND: 公共接地端;CMP: VCC(驅(qū)動(dòng)電感負(fù)載時(shí)的保護(hù)端。) 1.2.4 MC1403 MC1403是一塊輸出為2.5V的基準(zhǔn)電壓源。引腳排列見(jiàn)圖1-4所示。1腳:為輸入端,輸入電壓為4.5V40V;2腳:為輸出端;3腳:為接地公共端;其余均為空腳。 圖1-3 ULN2003引腳圖 圖1-4 MC1403引腳

7、圖1.2.5 MAX232芯片 MAX232芯片是美信公司專門為電腦的RS-232標(biāo)準(zhǔn)串口設(shè)計(jì)的單電源電平轉(zhuǎn)換芯片,使用+5V單電源供電。在本設(shè)計(jì)利用MAX232芯片產(chǎn)生-5V電源。見(jiàn)圖1-5、1-6及附圖。另一個(gè)功能做RS232接口電路,見(jiàn)1-7、1-8所示,本設(shè)計(jì)不用。 圖 1-5 MAX232引腳圖 圖1-6 max232引腳連接圖 圖1-7 max232引腳與RS232連接圖 圖1-8 RS232接口引腳介紹:第一部分是電荷泵電路:由1、2、3、4、5、6腳和4只電容構(gòu)成。功能是產(chǎn)生+12V和-12V兩個(gè)電源,提供給RS-232串口需要的電平。第二部分是數(shù)據(jù)轉(zhuǎn)換通道:由7、8、9、10

8、、11、12、13、14腳構(gòu)成兩個(gè)數(shù)據(jù)通道。其中13腳(R1IN)、12腳(R1OUT)、11腳(T1IN)、14腳(T1OUT)為第一數(shù)據(jù)通道。 8腳(R2IN)、9腳(R2OUT)、10腳(T2IN)、7腳(T2OUT)為第二數(shù)據(jù)通道。 TTL/CMOS數(shù)據(jù)從T1IN、T2IN輸入轉(zhuǎn)換成RS-232數(shù)據(jù)從T1OUT、T2OUT送到電腦DB9插頭;DB9插頭的RS-232數(shù)據(jù)從R1IN、R2IN輸入轉(zhuǎn)換成TTL/CMOS數(shù)據(jù)后從R1OUT、R2OUT輸出。第三部分是供電電路。15腳GND、16腳VCC(+5V)。 主要特點(diǎn):1、符合所有的RS-232C技術(shù)標(biāo)準(zhǔn);2、只需要單一 +5V電源供電

9、; 3、片載電荷泵具有升壓、電壓極性反轉(zhuǎn)能力,能夠產(chǎn)生+10V和-10V電壓V+、V-;4、功耗低,典型供電電流5mA ; 5、內(nèi)部集成2個(gè)RS-232C驅(qū)動(dòng)器; 6、內(nèi)部集成兩個(gè)RS-232C接收器; 7、高集成度,片外最低只需4個(gè)電容即可工作。1.3 3位數(shù)字電壓表工作原理3位數(shù)字電壓表的原理圖見(jiàn)附圖,工作原理如下: R12和C2是MC14433的積分電阻和積分電容,C3是失調(diào)補(bǔ)償電容,R13是時(shí)鐘發(fā)生器電阻,MC14433配上這4個(gè)外圍元件即可正常工作。并將DU和ECO(14腳)接在一起,使MC14433能夠連續(xù)轉(zhuǎn)換并刷新輸出結(jié)果。MC1403的輸出電壓經(jīng)RP1和R4分壓后加到MC144

10、33的VREF端,作MC14433 A/D轉(zhuǎn)換的參考電壓。MC14433 A/D轉(zhuǎn)換結(jié)果的BCD碼是以動(dòng)態(tài)掃描的形式輸出的,由Q0Q3輸出的BCD碼加到CD4511的BCD碼輸入端AD,經(jīng)CD4511譯碼后得到的7位段碼ag接到LED數(shù)碼管的相應(yīng)位置,以驅(qū)動(dòng)LED數(shù)碼管的ag的7個(gè)字段。 由MC14433的DS1DS4輸出的位選碼,經(jīng)ULN2003接至U7U10的陰極以驅(qū)動(dòng)這4位LED數(shù)碼管。 由于MC14433是3位輸出,在千位上只能顯示十進(jìn)制的“0”和“1”,也就是說(shuō)在DS1為高電平時(shí),只需要Q0Q3中的1位(Q0)反映就可以了,其他三位QQ1用不上。正是利用這一點(diǎn),當(dāng)DS1=1時(shí),從Q0

11、Q3可以輸出更多的信息:Q3=0 時(shí)表示“千位”=1;Q3=1時(shí)表示“千位”=0;Q2=0 時(shí)表示輸入信號(hào)極性為負(fù);Q2=1時(shí)表示信號(hào)極性為正。因此,對(duì)千位LED數(shù)碼管字段信號(hào)作了如下處理:將b、c和dp通過(guò)R13接+5V電源,使這三段常亮。將a、d、e和f段,通過(guò)R14接+5V,并由Q3控制其亮滅。千位顯示“1” :當(dāng)Q3=0ULN2003的O1=1ULN2003的D6=1(通過(guò)上拉電阻R16)ULN2003的O6=0 U7的a、d、e、f 這4個(gè)字段沒(méi)有電流而滅掉,而b和c段常亮而顯示“1”。千位顯示“0” :當(dāng)Q3=1ULN2003的O1=0 ULN2003的D6=0ULN2003的O6

12、=1U7的a、d、e、f 這4個(gè)字段有電流通過(guò)而點(diǎn)亮,再加之b和c段常亮,故顯示“0”。對(duì)于顯示負(fù)號(hào)的U6也作了類似的處理,請(qǐng)同學(xué)們自己分析。積分電阻Ri和電容Ci的選擇:積分電阻和電容值的選擇與基本量程和時(shí)鐘頻率有關(guān),因?yàn)榉e分電容上的電壓可用下式表示: 其中, Vci=VDD-VX(max)-0.5V 這里0.5V是防止積分器輸出進(jìn)入飽和區(qū)而留有的余量。 若Ci=0.1F,時(shí)鐘=66KHz,VDD=5V,則2V量程時(shí)Ri470K。200mV量程時(shí),Ri27K。如果條件允許,在保證相當(dāng)?shù)臅r(shí)間常數(shù)的情況下,取較大的電阻和較大的電容更合適。1.4 3位數(shù)字電壓表的制作1.4.1 布局任何一個(gè)電子產(chǎn)

13、品,都是由眾多的電子元件組成的,這些電子元件要放到一個(gè)載體上,以便于布線,這個(gè)載體就是電路板(PCB板)。這些電子元件在電路板上的位置要有一個(gè)合理的安排,這就是布局。布局是一個(gè)重要的環(huán)節(jié)。布局結(jié)果的好壞將直接影響布線的效果,最終影響產(chǎn)品的性能。因此可以這樣認(rèn)為,合理的布局是電路板設(shè)計(jì)成功的第一步。(1)考慮整體美觀一個(gè)產(chǎn)品的成功與否,一是要注重內(nèi)在質(zhì)量,二是兼顧整體的美觀,兩者都較完美才能認(rèn)為該產(chǎn)品是成功的。在一個(gè)電路板上,元件的布局要求要均衡,疏密有序,不能頭重腳輕或一頭沉,盡量以體積較大,引線較多的元件為中心安排。(2)布局時(shí)應(yīng)考慮以下問(wèn)題: 印制板尺寸是否與加工圖紙尺寸相符?能否符合電路

14、板制造工藝要求?有無(wú)定位標(biāo)記? 元件在二維、三維空間上有無(wú)沖突? 元件布局是否疏密有序,排列整齊?是否全部布完? 需經(jīng)常更換的元件能否方便更換?插件板插入設(shè)備是否方便? 熱敏元件與發(fā)熱元件之間是否有適當(dāng)?shù)木嚯x? 調(diào)整可調(diào)元件是否方便? 在需要散熱的地方,裝了散熱器沒(méi)有?空氣流是否通暢? 信號(hào)流程是否順暢且互連最短? 插頭、插座等與機(jī)械設(shè)計(jì)是否矛盾? 線路的干擾問(wèn)題是否有所考慮?1.4.2 布線布局完成之后,就是布線的工作了。布線時(shí)應(yīng)考慮以下問(wèn)題:交叉的導(dǎo)線應(yīng)盡量垂直,以減少電磁偶合,布線應(yīng)盡量短;電源和地線應(yīng)盡量粗,模擬部分的地線不能閉合; 模擬部分的地線和數(shù)字部分的地線應(yīng)各自分別接地,在電源

15、處一點(diǎn)接公共地線。布線的拐彎處應(yīng)避免走直角,以減少高頻時(shí)的電磁輻射;另外,還應(yīng)考慮電路板的電磁兼容性、可靠性等。 1.4.3 焊接在電路板的焊接過(guò)程中,虛焊是最易出現(xiàn)的問(wèn)題,往往是電路板制作失敗的原因之一,努力提高焊接質(zhì)量對(duì)于初學(xué)者是十分重要的。如何提高焊接質(zhì)量泥?除了苦練基本功之外,還應(yīng)注意以下幾個(gè)環(huán)節(jié)。 電路板的處理:電路板的制作工藝不正確,或放置時(shí)間太久,焊盤處易生氧化層,要清除這些氧化層,可用擦字橡皮擦,這樣不易損傷銅箔,氧化嚴(yán)重的也可用細(xì)砂紙輕輕打磨,直至銅箔面光亮如新。元器件引腳的處理:所有元器件的引腳,在焊入電路板之前,都必須刮凈后鍍上錫。有的元器件出廠時(shí)引腳已鍍錫,因長(zhǎng)期存放氧

16、化,也應(yīng)重新鍍錫。助焊劑的選用:元器件引腳鍍錫時(shí)應(yīng)選用松香作助焊劑。焊錫膏、焊油等焊劑腐蝕性大,最好不用。焊錫的選用:應(yīng)選用0.5mm左右的松香芯焊錫絲。焊點(diǎn)的控制:標(biāo)準(zhǔn)的焊點(diǎn)應(yīng)圓而光滑、無(wú)毛刺。但初學(xué)者開(kāi)始焊接時(shí),焊點(diǎn)上往往毛刺或焊點(diǎn)成蜂窩狀。這些都是不合格的焊點(diǎn)。焊接時(shí),不要心急,一定要待烙鐵頭有足夠的溫度時(shí),再動(dòng)手焊。焊接時(shí)讓烙鐵頭對(duì)電路板的焊盤和元器件的引腳同時(shí)加熱上12秒,再放焊錫絲,注意控制焊錫量,拿開(kāi)焊錫絲后,烙鐵再稍停留一下后再離開(kāi),這樣焊出的焊點(diǎn)一般都能符合要求。1.5 調(diào)試一個(gè)電子產(chǎn)品,經(jīng)過(guò)原理圖設(shè)計(jì),電路板的設(shè)計(jì)與制作,再經(jīng)過(guò)焊接之后,余下的工作就是整定與調(diào)試了。1.5.

17、1 上電之前檢查 目測(cè)有無(wú)漏焊和假焊,如有需補(bǔ)焊。 用萬(wàn)用表歐姆檔檢查電路板的電源兩端是否短路,若短路需排除短路故障。故障不排除不能通電。 用萬(wàn)用表歐姆檔檢查電路各個(gè)線之間是否連接好,若電路板出現(xiàn)開(kāi)路情況,及時(shí)排除故障,以避免影響實(shí)驗(yàn)結(jié)果。1.5.2 基準(zhǔn)電源調(diào)試 首先需測(cè)試MC1403的輸出是否穩(wěn)定并且可調(diào)。上電后,用萬(wàn)用表的電壓檔觀測(cè)MC14434的VREF,(以量程滿1.999V為例)通過(guò)調(diào)整電位器RP1使其滿足VREF=1.999V。1.5.3 負(fù)電壓電源調(diào)試 Max232芯片輸出電壓約-8V左右,而MC14433需要的電壓為-5V,因此,調(diào)節(jié)R3使輸出電壓為-5V。1.5.4 MC1

18、4433芯片調(diào)試 當(dāng)電源+5V和-5V電源接入對(duì)應(yīng)引腳時(shí),分別檢測(cè)4腳、6腳、7腳、8腳。對(duì)應(yīng)的圖形為圖1-9、圖1-10、圖1-11、圖1-12。如果MC14433芯片引腳狀態(tài)不正確,需要檢查各引腳所接器件是不是焊接正確,是否有漏焊或者虛焊情況。 圖1-9 14433-4腳圖形 圖1-10 14433-6腳圖形 圖1-1 14433-7腳圖形 圖1-12 14433-8腳圖形1.5.5 整定如果量程滿度為1.999V,則應(yīng)在Vx端加標(biāo)準(zhǔn)的1.999V電壓,調(diào)節(jié)VREF,使輸出讀數(shù)為1.999V,而且無(wú)過(guò)量程,這時(shí)末位數(shù)每個(gè)字為1mV。把輸入電壓Vx調(diào)整到1.0V,若顯示值也是1.000V左右

19、,再將輸入電壓Vx調(diào)整到0.5V,若顯示值也是0.500V左右,輸入電壓Vx調(diào)整到1.5V,若顯示值也是1.500V左右,調(diào)整結(jié)束。若偏離較大,可以稍微改變基準(zhǔn)電壓,但不能高于2.0V,也不能低于1.9V。1.5.6 極性前面講過(guò)MC14433具有自動(dòng)極性轉(zhuǎn)換的功能,因此只要有一個(gè)正電壓基準(zhǔn)就能測(cè)量正負(fù)兩個(gè)極性的電壓,輸出讀數(shù)等于1999Vx/VREF。可在Vx端加正電壓,觀察顯示數(shù)值及極性顯示;然后再把輸入信號(hào)反向接在Vx端,觀察極性顯示。1.6 數(shù)字電壓表性能的改進(jìn)上面介紹的數(shù)字電壓表是最基本的,MC14433的功能沒(méi)有完全開(kāi)發(fā),下面介紹利用MC14433的特點(diǎn)為我們的數(shù)字電壓表增加的兩個(gè)

20、功能。1.6.1 過(guò)量程報(bào)警MC14433的引腳是溢出標(biāo)志輸出端,當(dāng)被測(cè)電壓超過(guò)基準(zhǔn)電壓VREF 時(shí),此端輸出為低電平,利用這個(gè)信號(hào)即可實(shí)現(xiàn)過(guò)量程報(bào)警的功能。原理見(jiàn)圖1-13。 圖1-13 過(guò)量程報(bào)警電路正常工作時(shí), =1,D觸發(fā)器復(fù)位,Q=1,CD4511亦正常工作。當(dāng)過(guò)量程時(shí),=0,D觸發(fā)器正常工作,EOC信號(hào)被2分頻后,控制CD4511的BI端的電平的高低變化,從而使數(shù)字顯示閃爍,指示過(guò)量程狀態(tài)。1.6.2 顯示數(shù)字的保持 在數(shù)字電壓表的工作過(guò)程中,如果需要保持某一時(shí)刻測(cè)量的結(jié)果一直不變,則可用圖1-14所示的方法來(lái)實(shí)現(xiàn)這個(gè)功能。由圖1-14可見(jiàn),實(shí)現(xiàn)此功能很簡(jiǎn)單,只是在MC14433的

21、EOC和DU兩引腳之間接入一個(gè)開(kāi)關(guān)K,DU引腳接一個(gè)100K的下拉電阻。正常測(cè)量時(shí),使開(kāi)關(guān)K接通;需要保持?jǐn)?shù)據(jù)時(shí),把開(kāi)關(guān)K斷開(kāi)即可。此時(shí),MC14433的DU引腳被拉向低電平而得不到EOC引腳輸出的A/D轉(zhuǎn)換結(jié)束的正脈沖信號(hào)而不能將MC14433 A/D轉(zhuǎn)換的結(jié)果刷新輸出,而使數(shù)字電壓表顯示的數(shù)字保持不變。 圖1-14 顯示數(shù)字的保持附圖:3位數(shù)字電壓表的原理圖表1-2 每套元件名稱及型號(hào)序號(hào)名 稱代 號(hào)型號(hào)每套數(shù)量1A/D轉(zhuǎn)換U1MC1443312基準(zhǔn)電源U2MC140313BCD譯碼器U3CD451114位驅(qū)動(dòng)器U4ULN200315LED數(shù)碼管D1D5(共陰)LG5011AH56芯片管座

22、配U124P17配U216P18配U316P19配U48P110配數(shù)碼管40P211電位器RP1、R3 10K112電阻R1、R247K213R420K114R12、R13470K215R5R11120(1/4W)716R18100(1/4W)117R1775(1/4W)118R15330119R144.7K120電容C1C3104321C4、C516V/10222萬(wàn)能板140*185mm1圖1-15 PCB版圖1.7系統(tǒng)電路仿真1.7.1 ICL7107元器件介紹ICL7107是雙積型的A/D 轉(zhuǎn)換器,還集成了A/D 轉(zhuǎn)換器的模擬部分電路,如緩沖器、積分器、電壓比較器、正負(fù)電壓參考源和模擬開(kāi)

23、關(guān),以及數(shù)字電路部分如振蕩源、計(jì)數(shù)器、鎖存器、譯碼器、驅(qū)動(dòng)器和控制邏輯電路等,使用時(shí)只需外接少量的電阻、電容元件和顯示器件,就可以完成模擬到數(shù)字量的轉(zhuǎn)換,從而滿足設(shè)計(jì)要求。顯示穩(wěn)定可讀和測(cè)量反應(yīng)速度快,是本設(shè)計(jì)的關(guān)鍵。ICL7107 的一個(gè)周期為用4000 個(gè)計(jì)數(shù)脈沖時(shí)間作為A/D 轉(zhuǎn)換的一個(gè)周期時(shí)間,每個(gè)周期分成自動(dòng)穩(wěn)零(AZ)、信號(hào)積分(INT)和反積分(DE)3 個(gè)階段。內(nèi)部邏輯控制電路不斷地重復(fù)產(chǎn)生AZ、INT、DE 3 個(gè)階段的控制信號(hào),適時(shí)地指揮計(jì)數(shù)器、鎖存器、譯碼器等協(xié)調(diào)工作,使輸出對(duì)應(yīng)于輸入信號(hào)的數(shù)值。而輸入模擬量的數(shù)值在其內(nèi)部數(shù)值上等于計(jì)數(shù)數(shù)值T,即:VIN 的數(shù)值=T 的數(shù)

24、值Vin=VREF(T/1000) 式中:1000 為積分時(shí)間(1000 個(gè)脈沖周期);T 為反積分時(shí)間(滿度時(shí)為2000)。在Proteus仿真軟件中,我們可以使用TC7107代替ICL7107。1.7.2 仿真軟件介紹Proteus軟件是一種低投資的電子設(shè)計(jì)自動(dòng)化軟件,提供可仿真數(shù)字和模擬、交流和直流等數(shù)千種元器件和多達(dá)30多個(gè)元件庫(kù)。Proteus軟件提供多種現(xiàn)實(shí)存在的虛擬儀器儀表。此外,Proteus還提供圖形顯示功能,可以將線路上變化的信號(hào),以圖形的方式實(shí)時(shí)地顯示出來(lái)。這些虛擬儀器儀表具有理想的參數(shù)指標(biāo),例如極高的輸入阻抗、極低的輸出阻抗,盡可能減少儀器對(duì)測(cè)量結(jié)果的影響, Prote

25、us軟件提供豐富的測(cè)試信號(hào)用于電路的測(cè)試。這些測(cè)試信號(hào)包括模擬信號(hào)和數(shù)字信號(hào)。提供Schematic Drawing、SPICE仿真與PCB設(shè)計(jì)功能,同時(shí)可以仿真單片機(jī)和周邊設(shè)備,可以仿真51系列、AVR、PIC等常用的MCU,并提供周邊設(shè)備的仿真,例如373、led、示波器等。Proteus提供了大量的元件庫(kù),有RAM、ROM、鍵盤、馬達(dá)、LED、LCD、AD/DA、部分SPI器件、部分IIC器件。1.7.3 仿真電路步驟(1)打開(kāi)PROTEUS 操作界面添加所需電路元件到元件列表中:?jiǎn)螕簟癙”按鈕,如圖1-16所示,出現(xiàn)挑選元件對(duì)話框。 圖1-16 “P”按鈕在出現(xiàn)的對(duì)話框Keywords

26、中輸入所用元件名稱,在對(duì)話框中單擊OK按鈕,關(guān)閉對(duì)話框。(2)放置元件。在元件列表中左健選取元件,在編輯窗口中單擊左健,這樣原件就被放到原理圖編輯窗口中了。(3)在編輯區(qū)布線,修改元件參數(shù)點(diǎn)擊想要連接的兩個(gè)引腳,就能就能簡(jiǎn)單地實(shí)現(xiàn)布線。在特殊的位置需要布線,用戶只需在中間的角落點(diǎn)擊。自動(dòng)布線也能在元件移動(dòng)的時(shí)候操作,自動(dòng)地解決相應(yīng)的連線。節(jié)點(diǎn)自動(dòng)布置和移除。節(jié)約時(shí)間的同時(shí),避免其他方面可能引起的錯(cuò)誤。也可以手工布點(diǎn),但是布點(diǎn)以后需要連線。雙擊元件修改相關(guān)參數(shù)。(4)進(jìn)行電路仿真,完成原理圖如圖1-16所示。.運(yùn)用PROTEUS軟件仿真電路圖: 圖1-16 PROTEUS軟件仿真電路圖表1-3

27、PROTEUS軟件符號(hào)元件符號(hào)元器件名稱元器件中文注釋RES通用電阻符號(hào)CAP通用電容符號(hào)CAP-ELEC通用電解電容INDUCTOR通用電感NPN通用NPN型雙極性晶體管PNP通用PNP型雙極性晶體管PMOSFET通用P型金屬氧化物半導(dǎo)體場(chǎng)效應(yīng)晶體管SCR通用晶閘管整流器TRIAC通用三端雙向晶閘管開(kāi)關(guān)元件SOUNDER壓電發(fā)聲模型BATTERY直流電壓源CELL單電池BUZZER直流蜂鳴器CRYSTAL石英晶體RELAY繼電器CAP-VAR可變電容SPEAKER喇叭模型LED-GREEN綠色發(fā)光二極管LED-RED紅色發(fā)光二極管LED-BLUE藍(lán)色發(fā)光二極管AERIAL天線符號(hào)7SEG-D

28、IGITAL數(shù)字式七段數(shù)碼管DIODE-TUN通用溝道二極管ISINE正弦波交流電流源VSINE正弦波交流電壓源THYRISTOR通用半導(dǎo)體晶閘管PULLUP上拉電阻POT-HG可變電阻POT-LIN可變電阻BUTTON按鈕DISPW-44獨(dú)立開(kāi)關(guān)組LM016L16*2字符液晶7SEG-BCD七段BCD碼顯示器78055V,1A穩(wěn)壓器7SEG-COM-AN-GRN七段有公共端的共陽(yáng)綠色數(shù)碼管7SEG-COM-CAT- BLUE七段有公共端的共陰藍(lán)色數(shù)碼管7SEG-MPX4-CA-BLUE4位七段共陰藍(lán)色數(shù)碼管555555電路AT89C518051微控器AND二輸入與門OR二輸入或門XOR二輸入異或門NAND二輸入與非門NOR二輸入或非門NOT非門RESPACK-8帶公共端的的8電阻排RX88電阻排LAMP動(dòng)態(tài)燈泡模型TRAFFIC LIGHTS動(dòng)態(tài)交通燈模型PIN單腳終端接插件SWITCH帶鎖存開(kāi)關(guān)FUSE動(dòng)態(tài)保險(xiǎn)絲模型MOTOR-STEPPER動(dòng)態(tài)單極性步進(jìn)電機(jī)模型MOTOR直流電機(jī)模

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論